您好,欢迎光临本网站![请登录][注册会员]  

列表 第50584页

« 1 2 ... .79 .80 .81 .82 .83 50584.85 .86 .87 .88 .89 ... 799456 »

[Web开发] IIS5·1完全安装版

说明: IIS5·1完全安装版 iis5,是iis里其中的一个版本。 iis Internet Information Server的缩写为(IIS)是一个World Wide Web server。Gopher server和FTP server全部包容在里面。 IIS意味着你能发布网页,并且有ASP(Active Server Pages)、JAVA、VBscr ipt产生页面,有着一些扩展功能。IIS支持一些有趣的东西,象有编辑环境的界面(FRONTPAGE)、有全文检索功能的(INDEX SE
<shulihe> 在 2009-12-27 上传 | 大小:11534336 | 下载779次

[专业指导] FAT32转换NTFS工具

说明: 软件名称:FAT32转换NTFS工具 功能简介:快速方便的进行FAT32转换NTFS转换。没什技术含量。 官方网站:http://www.3464.com/
<nijianzhi> 在 2009-12-27 上传 | 大小:245760 | 下载2次

[C#] c#程序员参考手册(完整版)

说明: c#程序员 核心类库 方法 属性 用法 包括基础的 高级的 全书共有三部分:语言本身特点,类库方法,关键字和命名空间
<zhu519702> 在 2009-12-27 上传 | 大小:11534336 | 下载14次

[专业指导] S7300全套接线图

说明: S7300全套接线图 很好的一份资料 想要跟多资料的联系我QQ 52197042
<swfangel> 在 2009-12-27 上传 | 大小:1048576 | 下载15次

[Java] swing界面设计之JTree

说明: 是一本pdf格式的书,这本书主要介绍了SWing高级中的JTree编程的所有功能。
<majiayun> 在 2009-12-27 上传 | 大小:3145728 | 下载62次

[Web开发] IIS 6.0 SP3版

说明: XP sp3 版本下安装包!经测试可安装在windows XP SP3版本上,运行正常!请放心下载安装!
<jense> 在 2009-12-27 上传 | 大小:11534336 | 下载116次

[C] 51单片机及C语言入门教程

说明: 基础51单片机 和编辑环境使用介绍入门书
<LELILILE> 在 2009-12-27 上传 | 大小:1048576 | 下载10次

[C] 学生管理系统程序源代码

说明: 学生管理系统程序 #include using namespace std; #include double avg=0;//统计总分和加平均分权 const int mathp=4;//数学学分 const int cppp=5;//C++学分 const sum=70;//设置总学分 class Student { private: int num; char *name; char *sex; char *phone; char *rphone; double math; doubl
<song07010222125> 在 2009-12-27 上传 | 大小:5120 | 下载154次

[其它] 十进制计数器vhdl

说明: 查看文章 VHDL十进制计数器2009-07-14 16:28library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity count10 is port (clk:in std_logic; f:buffer integer range 0 to 15; cout:out std_logic); end; architecture
<duzibeihang> 在 2009-12-27 上传 | 大小:469 | 下载11次

[其它] 数据结构(算法动画)

说明: 生动详细的算法运行过程,帮助你轻松学习《数据结构》
<fjz61026> 在 2009-12-27 上传 | 大小:3145728 | 下载3次

[专业指导] X-plore v1.22_SiSDOWN

说明: 破解好用手机最强的管理器哈哈哈哈哈哈 想要的来无敌了
<asd3963263a> 在 2009-12-27 上传 | 大小:474112 | 下载2次

[嵌入式] EDA实验教材(仿真软件MAXPLUS2)

说明: 实验内容:电子电路PSPICE程序辅助分析, 组合逻辑3-8译码器的设计, 组合逻辑电路的设计, 触发器功能的模拟实现, 扫描显示驱动电路, 计数器及时序电路, 数字钟, 字符发生器, 函数信号发生器, 模拟信号检测。
<huangqj77> 在 2009-12-27 上传 | 大小:1039360 | 下载74次
« 1 2 ... .79 .80 .81 .82 .83 50584.85 .86 .87 .88 .89 ... 799456 »