您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. vhdl 拔河游戏机

  2. 很好的quartus ii工程,包括拔河游戏机一切的功能
  3. 所属分类:硬件开发

    • 发布日期:2009-05-04
    • 文件大小:496640
    • 提供者:zephyr00
  1. 数字电路课程设计 拔河游戏机 数字钟 彩灯 交通灯

  2. 数字电路课程设计 拔河游戏机 数字钟 彩灯 交通灯........
  3. 所属分类:交通

    • 发布日期:2009-05-05
    • 文件大小:3145728
    • 提供者:nxiaoaojianghu
  1. 数字逻辑课程设计--拔河游戏机

  2. 设计要求……………………………………………3 采用的器件和软件…………………………………3 概要设计:设计思想及说明………………………4 设计步骤……………………………………………8 各模块组成,简要说明……………………………9 源文件(ABEL-HDL源程序)…………………………9 使用说明……………………………………………11 验收时间,验收情况………………………………11 设计心得……………………………………………9 改进方向及创新应用方向…………………………10 设计要求: 1、拔河游
  3. 所属分类:专业指导

    • 发布日期:2009-06-17
    • 文件大小:193536
    • 提供者:jayzf0503
  1. 数字电路综合设计 拔河游戏机电路设计

  2. 1)、任务: 用数字集成电路设计一个拔河游戏机 2)、基本要求: ○1能通过输出信号快慢使LED左右闪; ○2电路具有清理裁判功能和自锁功能 ; ○3电路开始后只有中间一个点亮 。 3)、发挥部分 ○1电路具有胜利音乐功能; ○2电路具有统计胜利功能; 一、实验电路
  3. 所属分类:专业指导

    • 发布日期:2009-12-08
    • 文件大小:83968
    • 提供者:sddhq
  1. 拔河游戏机 vhdl设计

  2. 拔河游戏机 vhdl 设计 采用框图设计 费了很大功夫
  3. 所属分类:专业指导

    • 发布日期:2009-12-17
    • 文件大小:2097152
    • 提供者:th2670
  1. 课程设计——拔河游戏机

  2. 拔河游戏机用9个灯泡指示灯排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,不断地按,亮点不断地移动。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。
  3. 所属分类:专业指导

    • 发布日期:2010-01-06
    • 文件大小:1048576
    • 提供者:lixiaoning0000
  1. 数电课程设计拔河游戏机的设计

  2. 这个是关于数电的课程设计,设计题目是拔河游戏机的设计,里面的内容觉得还行,就把它传到网上了。大家可以下载后自己去查看啊!这只是一个参考!
  3. 所属分类:专业指导

    • 发布日期:2010-03-16
    • 文件大小:175104
    • 提供者:laixingjun
  1. 数字电子实习电子拔河游戏机设计

  2. 全面的电子拔河游戏机设计,包括原理图等。需要的可以下。全面的电子拔河游戏机设计,包括原理图等。需要的可以下
  3. 所属分类:专业指导

    • 发布日期:2010-06-28
    • 文件大小:167936
    • 提供者:qi8226737
  1. 基于proteus的拔河游戏机

  2. 基于proteus的拔河游戏机,基于proteus纯数字电路实例,不包含C语言。
  3. 所属分类:C

    • 发布日期:2010-09-24
    • 文件大小:118784
    • 提供者:lan63024058
  1. 基于Proteus的拔河游戏机

  2. 基于Proteus的拔河游戏机,运用纯数字电路知识,不包含C语言。
  3. 所属分类:C

    • 发布日期:2010-09-24
    • 文件大小:118784
    • 提供者:lan63024058
  1. 课程设计——拔河游戏机.doc

  2. 课程设计——拔河游戏机.doc课程设计——拔河游戏机.doc课程设计——拔河游戏机.doc
  3. 所属分类:电子政务

    • 发布日期:2011-07-02
    • 文件大小:1048576
    • 提供者:onlywqg
  1. 电子拔河游戏机

  2. 这是电子技术基础课设—电子拔河游戏机的报告,希望对大家有用哦
  3. 所属分类:电信

    • 发布日期:2011-12-02
    • 文件大小:356352
    • 提供者:liweiju10
  1. VHDL写的拔河游戏机

  2. 一个用VHDL写的拔河游戏机,在MAXPLUS2.0软件上写的,在硬件箱上模拟实现,很好玩的。
  3. 所属分类:其它

    • 发布日期:2008-09-11
    • 文件大小:6144
    • 提供者:xxuxxakai
  1. 数字逻辑拔河游戏机设计

  2. 用protues实现拔河游戏机仿真。1. 游戏分为甲方和乙方,用按键速度来模拟双方力量以点亮的发光二极管的左右移动来显示双方的比赛状况。 2. 用15个(或9个)发光二极管组成一排,比赛开始时,中间二极管点亮。以此为拔河的中心点,甲乙双方各持一键,比赛开始后,各自迅速不断地按动按键,以此产生脉冲,谁按得快,亮点就向该方移动(甲为左),当任何一方的终端点亮时,该方胜利,此时,二级管的状态保持,双方按键无效,复位后亮点移至中间,开始下轮比赛。
  3. 所属分类:其它

    • 发布日期:2013-09-21
    • 文件大小:149504
    • 提供者:tian_xiaocao
  1. 数电课设拔河游戏机的设计

  2. 拔河游戏机课设报告,数电课设,内容非常详细,适用电子、计算机等专业课设。
  3. 所属分类:其它

    • 发布日期:2014-01-04
    • 文件大小:713728
    • 提供者:u013378177
  1. 拔河游戏机

  2. 电子拔河游戏机
  3. 所属分类:嵌入式

    • 发布日期:2016-08-09
    • 文件大小:1048576
    • 提供者:weizi2016
  1. 电子拔河游戏机设计全文

  2. 详细的数字电子技术课程设计 电子拔河游戏机
  3. 所属分类:专业指导

    • 发布日期:2009-03-31
    • 文件大小:337920
    • 提供者:jjy354110054
  1. 拔河游戏机

  2. 数电课程设计本人花了7天完成拔河游戏机整个流程的完成
  3. 所属分类:专业指导

    • 发布日期:2018-06-28
    • 文件大小:316416
    • 提供者:qq_41776667
  1. 拔河游戏机课程设计电路图

  2. 有关拔河游戏机的电路设计原理图,学生用的会比较多,
  3. 所属分类:游戏开发

    • 发布日期:2011-06-27
    • 文件大小:204800
    • 提供者:zhanrui071430
  1. 拔河游戏机protues8.10仿真(数字电路)

  2. 基于数字电路的拔河游戏机,两个按钮快速拨动,那边的频率越高,数码管就往那一边移动,4011,4081,CD40193,4514,4518,4511。
  3. 所属分类:硬件开发

    • 发布日期:2020-12-02
    • 文件大小:22528
    • 提供者:weixin_42717781
« 12 3 4 »