您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 七人表决器 VHDL程序

  2. VHDL程序 EDA 七人表决器 七人表决器VHDL程序 EDA
  3. 所属分类:其它

    • 发布日期:2009-05-24
    • 文件大小:73728
    • 提供者:ffgy5731
  1. EDA实验之7人表决器下载

  2. VHDL语言设计的七人表决器,可下载的SE-5型实验箱上使用。
  3. 所属分类:专业指导

    • 发布日期:2009-12-15
    • 文件大小:39936
    • 提供者:yinheng1314
  1. 基于VHDL的七人表决器的设计

  2. 用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”;输入变量为逻辑“0”时,表示表决者“不赞同”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的七个输入变量中有4个以上(含4个)为“1”时,则表决器输出为“1”;否则为“0”。
  3. 所属分类:专业指导

    • 发布日期:2010-01-05
    • 文件大小:41984
    • 提供者:wzl880820
  1. 大规模可编程逻辑器件

  2. 用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”;输入变量为逻辑“0”时,表示表决者“不赞同”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的七个输入变量中有4个以上(含4个)为“1”时,则表决器输出为“1”;否则为“0”。
  3. 所属分类:专业指导

    • 发布日期:2010-01-16
    • 文件大小:4096
    • 提供者:guangsu886688
  1. EDA 七人表决器和计数器的设计原理图和所得到的波形图

  2. EDA作业 设计七人表决器 计数器 计数器是用4位加法器和74374设计的,七人表决器一位加法器实现
  3. 所属分类:专业指导

  1. 七人表决器设计设计报告

  2. 七人表决器设计设计报告,希望大家能够支持,对大家有些帮助
  3. 所属分类:专业指导

    • 发布日期:2010-11-02
    • 文件大小:38912
    • 提供者:zperfecter
  1. eda的七人表决器通过亮灯

  2. 底层文件和顶层原理图都有,需要自己根据原理图gdf组装下,注意扫描信号的输出端可能由于是先前的失误,忘记添加了,自己填个output即可。
  3. 所属分类:专业指导

    • 发布日期:2010-11-07
    • 文件大小:3072
    • 提供者:lkyangzheng
  1. 七人表决器设计利用VHDL程序编写

  2. 七人表决器设计利用vhdl程序编写,理由电路图以及工程图
  3. 所属分类:专业指导

    • 发布日期:2010-12-09
    • 文件大小:926720
    • 提供者:a851210
  1. 七人表决器设计设计报告

  2. 基于FPGA的七人表决器设计设计报告 主要是设计的源程序 比较实用 经过本人的实际操作设计的
  3. 所属分类:专业指导

    • 发布日期:2011-10-29
    • 文件大小:38912
    • 提供者:pq544109726
  1. VHDL程序设计(2)七人表决器

  2. EDA课程VHDL程序设计实例:七人表决器。通过七个开关的高电平数量控制灯的亮灭
  3. 所属分类:专业指导

    • 发布日期:2011-12-07
    • 文件大小:423
    • 提供者:wutongye1216
  1. Verilog编写的FPGA组合逻辑程序

  2. Verilog编写的FPGA组合逻辑程序:译码器 表决器 编码器 半加器 全加器等
  3. 所属分类:硬件开发

    • 发布日期:2012-12-02
    • 文件大小:532480
    • 提供者:l3690123
  1. 硬件描述语言与EDA技术实践

  2. EDA技术实践七人表决器报告完美版,课程设计
  3. 所属分类:C/C++

    • 发布日期:2013-12-07
    • 文件大小:638976
    • 提供者:u010944746
  1. 七人表决器

  2. 主要应用verolog编写代码 七人表决器
  3. 所属分类:其它

    • 发布日期:2014-04-08
    • 文件大小:544
    • 提供者:u014613372
  1. EDA实验七人表决器(代码+连线图)

  2. 7人表决器本设计就是利用EDA/SOPC-II+实验箱中的拨挡开关模块和LED模块来实现一个简单的七人表决器的功能。拨挡开关模块中的K1~K7表示七个人,当拨挡开关输入为‘1’时,表示对应的人投同意票,否则当拨挡开关输入为‘0’时,表示对应的人投反对票;LED模块中D1_1表示七人表决的结果,当同意数>3人的时候,D1_1点亮表示一致同意,同时使用试验台的大板数码管显示同意的人数,否则D1_1熄灭表示一致反对
  3. 所属分类:硬件开发

    • 发布日期:2014-11-19
    • 文件大小:499712
    • 提供者:u012357206
  1. 七人表决器

  2. 利用EDA/SOPC-II+实验箱中的拨挡开关模块和LED模块来实现一个简单的七人表决器的功能。拨挡开关模块中的K1~K7表示七个人,当拨挡开关输入为‘1’时,表示对应的人投同意票,否则当拨挡开关输入为‘0’时,表示对应的人投反对票;LED模块中D1_1表示七人表决的结果,当同意数>3人的时候,D1_1点亮表示一致同意,同时使用试验台的大板的数码管显示P表示通过,小板的数码管显示同意的人数,否则D1_1熄灭表示一致反对。
  3. 所属分类:专业指导

    • 发布日期:2015-06-15
    • 文件大小:499712
    • 提供者:sinat_16882197
  1. 七人表决器

  2. 制作的七人表决器,vhdl语言,有需要的朋友可以打来看看那
  3. 所属分类:软件测试

    • 发布日期:2018-01-08
    • 文件大小:385024
    • 提供者:xlx2339635744
  1. 七人表决器

  2. 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4 时,则认为同意;反之,当否决的票数大于或者等于4 时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED 表示,若表决的结果为同意,则LED 被点亮;否则,如果表决的结果为反对,则LED 不会被点亮。
  3. 所属分类:其它

    • 发布日期:2018-04-26
    • 文件大小:1024
    • 提供者:qq_38431575
  1. 基于fpga的七人表决器

  2. 基于alter公司cycloneII开发平台下的VHDL编程,实现了七人表决的功能,七个按键分别对应七个人,当有人同意时,按键按下,置“1”,当有人不同意时按键挑起,置“0”,同时LED灯会随着对应的按键按下变亮或者熄灭,当同意认输超过3人时第一个LED灯点亮,表示表决通过,同时数码管一直会显示出同意的人数。
  3. 所属分类:其它

    • 发布日期:2018-06-21
    • 文件大小:343040
    • 提供者:qq_41382028
  1. 七人投票表决器的VHDL代码

  2. 合肥工业大学电子信息工程专业硬件描述语言实验七人投票表决器的代码
  3. 所属分类:讲义

    • 发布日期:2018-07-17
    • 文件大小:904
    • 提供者:qq_42709615
  1. 七人表决器.zip

  2. 学校的单片机课程设计,可实现矩阵按键七人表决器在数码管上显示
  3. 所属分类:C

    • 发布日期:2019-05-28
    • 文件大小:27648
    • 提供者:a1979946726
« 12 »