您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. LED七段数码管数字钟

  2. 设计并完成LED七段数码管数字钟电路,数字钟显示格式为:HH:MM:SS。要求:具有通过键盘能够调整时、分、秒的功能。 内附文档说明以及程序运行结果 这是我们的微机原理课程设计内容.
  3. 所属分类:专业指导

  1. LED七段数码管数字钟

  2. LED七段数码管数字钟: 1.设计并完成LED七段数码管数字钟电路。 2.数字钟显示格式为:HH:MM:SS。 3.具有通过键盘能够调整时、分、秒的功能
  3. 所属分类:专业指导

    • 发布日期:2009-06-23
    • 文件大小:347136
    • 提供者:urapig
  1. 七段数码管显示实验七段数码管显示实验

  2. 七段数码管显示实验七段数码管显示实验七段数码管显示实验七段数码管显示实验七段数码管显示实验
  3. 所属分类:C/C++

    • 发布日期:2009-11-27
    • 文件大小:115712
    • 提供者:sdsdojs
  1. 七段数码管译码器设计与实现

  2. 七段数码管的设计与实现,dout<="1111110" when "0000", "0110000" when "0001", "1101101" when "0010", "1111001" when "0011", "0110011" when "0100", "1011011" when "0101", "1011111" when "0110", "1110000" when "0111", "1111111" when "1000", "1111011" when "1001"
  3. 所属分类:专业指导

    • 发布日期:2010-06-05
    • 文件大小:36864
    • 提供者:yrb8618
  1. EDA fpga七段数码管

  2. EDA fpga七段数码管EDA fpga七段数码管
  3. 所属分类:硬件开发

    • 发布日期:2010-06-23
    • 文件大小:228352
    • 提供者:heqiuya
  1. 数字逻辑 Verilog HDL 七段数码管

  2. 数字逻辑课程的上机作业 用Verilog HDL 语言编写七段数码管的显示程序
  3. 所属分类:专业指导

    • 发布日期:2010-11-16
    • 文件大小:427008
    • 提供者:anyu2008
  1. Verilog HDL 七段数码管倒计时效果

  2. 这是大学期间我上VerilogHDL的七段数码管倒计时效果实验报告,报告中除了包括正确测试后的程序代码,我还加入了非常详细的注释。为了让读者更好理解程序代码和编写代码的思路,我还特意精心绘制了交通灯程序模块间的结构图。
  3. 所属分类:交通

    • 发布日期:2010-11-29
    • 文件大小:183296
    • 提供者:loushuifeng
  1. 一个实用的七段数码管显示程序

  2. 该程序是一个人见人爱,花见花开的七段数码管显示程序,非常的不错!
  3. 所属分类:C/C++

    • 发布日期:2011-01-25
    • 文件大小:4194304
    • 提供者:johnjiangzm
  1. 七段数码管IP的研究与设计

  2. 七段数码管动态显示IP的研究与设计 张亚峰 编
  3. 所属分类:专业指导

    • 发布日期:2011-01-30
    • 文件大小:430080
    • 提供者:guoyinghong
  1. 七段数码管计数器 汇编程序

  2. 七段数码管计数器 汇编程序 【实验题目】七段数码管计数器 【实验目的】掌握8255A的方式0以及七段数码管的显示方法。 增加8255A PC7作为单脉冲按钮的输入,每按一次单脉冲按钮七段数码管加1,从00到59循环显示(初值为58)。画出流程图
  3. 所属分类:专业指导

    • 发布日期:2011-04-26
    • 文件大小:41984
    • 提供者:weian8
  1. 基于Nios_软核处理器的七段数码管动态显示设计

  2. 基于Nios_软核处理器的七段数码管动态显示设计
  3. 所属分类:硬件开发

    • 发布日期:2011-06-07
    • 文件大小:1048576
    • 提供者:woshipepsi2008
  1. 基于Nios_软核处理器的七段数码管动态显示设计

  2. 基于Nios_软核处理器的七段数码管动态显示设计
  3. 所属分类:其它

    • 发布日期:2011-06-13
    • 文件大小:1048576
    • 提供者:cc4328758
  1. PLC七段数码管显示 十六种方法

  2. 用西门子S7200的PLC实现七段数码管显示 共计十六种方法
  3. 所属分类:嵌入式

  1. 七段数码管显示器

  2. 1、通过一个七段数码管显示器的设计,让学生掌握组合逻辑电路的设计方法; 2、掌握逻辑电路的静态测试方法。 3、进一步了解CPLD设计的全过程和相关软件的使用。 七段数码管显示“0-F”16个数字。
  3. 所属分类:专业指导

    • 发布日期:2011-12-05
    • 文件大小:4096
    • 提供者:abc20090512127
  1. 七段数码管显示电路

  2. 本代码为VHDL语言实现的七段数码管的动态显示电路,其中通过时钟计数并选择数据的输出位置(在8个数码管上)
  3. 所属分类:硬件开发

  1. 51单片机七段数码管

  2. 七段数码管显示数字,从500开始,每按一次键盘,数字就会减小10,直到减到零
  3. 所属分类:嵌入式

    • 发布日期:2013-04-01
    • 文件大小:644
    • 提供者:u010115373
  1. 数字逻辑设计七段数码管最简单的模八循环显示

  2. 让七段数码管循环显示“APFE6598”,需要用仿真软件Multisim 11.0
  3. 所属分类:软件测试

    • 发布日期:2013-04-13
    • 文件大小:113664
    • 提供者:rock4you
  1. 七段数码管software

  2. 七段数码管的software程序,运行可通过!
  3. 所属分类:C

    • 发布日期:2013-04-15
    • 文件大小:714
    • 提供者:u010303517
  1. 七段数码管程序(针对CVAVR)

  2. 本资源为在CVAVR环境中的七段数码管程序 可作为单片机(不限于AVR单片机)的课程练习资源
  3. 所属分类:嵌入式

    • 发布日期:2013-05-06
    • 文件大小:1024
    • 提供者:u010582985
  1. 七段数码管机器驱动七段数码管机器驱动七段数码管机器驱动

  2. 七段数码管机器驱动七段数码管机器驱动七段数码管机器驱动七段数码管机器驱动七段数码管机器驱动七段数码管机器驱动七段数码管机器驱动
  3. 所属分类:其它

    • 发布日期:2008-09-30
    • 文件大小:1048576
    • 提供者:ysldf
« 12 3 4 5 6 7 8 9 10 ... 27 »