您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA七段数码显示译码器设计

  2. 七段数码显示译码器设计,VHD语言设计L
  3. 所属分类:其它

    • 发布日期:2009-05-23
    • 文件大小:319488
    • 提供者:hnicholastse
  1. 七段译码器CD4511

  2. 四线_七段锁存-驱动译码器的详细资料,PDF格式
  3. 所属分类:其它

    • 发布日期:2009-09-30
    • 文件大小:314368
    • 提供者:y7756
  1. 十进制计数器+七段译码器

  2. 用VHDL语言实现十进制计数器,并用七段译码器显示
  3. 所属分类:其它

    • 发布日期:2009-09-30
    • 文件大小:515
    • 提供者:songsiqi2006
  1. VHDL 七段译码器

  2. 利用Vhdl语言编的七段译码器的程序,多谢下载
  3. 所属分类:其它

    • 发布日期:2009-10-09
    • 文件大小:29696
    • 提供者:tangliang0417
  1. VHDL语言编写的十进制计数器和七段译码器

  2. VHDL语言编写的十进制计数器和七段译码器,下来就知道了
  3. 所属分类:其它

    • 发布日期:2010-01-11
    • 文件大小:515
    • 提供者:ruisheng536
  1. 基于EDA实现七段数码显示译码器设计

  2. 为了能以十进制数码直观地显示数字系统的运行数据, 符显示器有七段可发光的线段拼合而成。常见的七段字符显示器有半导体数码管和液晶显示器两种。
  3. 所属分类:专业指导

    • 发布日期:2010-01-23
    • 文件大小:497664
    • 提供者:zjp649527
  1. 74ls48译码器中文资料

  2. 七段译码器 静态性能 动态性能 功能简要说明PDF
  3. 所属分类:其它

    • 发布日期:2010-01-24
    • 文件大小:273408
    • 提供者:chenyong_good
  1. 7447显示译码器的介绍

  2. 介绍7447七段数码驱动器的工作方式和各引角的功能介绍.
  3. 所属分类:其它

    • 发布日期:2010-03-15
    • 文件大小:329728
    • 提供者:liuyujun9321
  1. 七段数码管译码器设计与实现

  2. 七段数码管的设计与实现,dout<="1111110" when "0000", "0110000" when "0001", "1101101" when "0010", "1111001" when "0011", "0110011" when "0100", "1011011" when "0101", "1011111" when "0110", "1110000" when "0111", "1111111" when "1000", "1111011" when "1001"
  3. 所属分类:专业指导

    • 发布日期:2010-06-05
    • 文件大小:36864
    • 提供者:yrb8618
  1. BCD对七段显示器译码器的实验报告

  2. 利Quartus II 7.2软件设计和仿真 七段显示译码器,包含实现的程序代码,和功能仿真图。实验目的,内容,步骤,等!
  3. 所属分类:嵌入式

    • 发布日期:2010-09-05
    • 文件大小:130048
    • 提供者:huhehaote315
  1. Verilog HDL BCD七段译码器

  2. Verilog HDL 组合逻辑电路的设计——BCD七段译码器
  3. 所属分类:专业指导

    • 发布日期:2010-11-16
    • 文件大小:1024
    • 提供者:qiaoyaxing
  1. 实验二 数控分频器的设计

  2. 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽位1秒的输入信号脉冲计数允许信号;1秒计数结束后,计数值锁入锁存器的锁存信号和为下一次测频计数周期做准备的计数器清零信号。这3个信号由测频控制信号发生器TESTCTL产生,它的设计要求是,TESTCTL的计数使能信号输出CNT_EN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。当CNT_EN高电平时,允许计数;当CNT_EN低电平时停止计数,并保持所计的脉冲数。在停止计数期间,首先需要一
  3. 所属分类:专业指导

    • 发布日期:2010-12-08
    • 文件大小:281600
    • 提供者:XUQIWEN1
  1. 实验一 七段数码显示译码器

  2. 7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。但为了简化过程,首先完成7段BCD码译码器的设计。如图3-1作为7段BCD码译码器,输出信号LED7S的7位分别接如图3-1数码管的7个段,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段:g、f、e、d、c、b
  3. 所属分类:C

    • 发布日期:2010-12-08
    • 文件大小:377856
    • 提供者:XUQIWEN1
  1. 数电实验——七段译码器源代码(VHDL)

  2. 数电实验——七段译码器源代码(VHDL)
  3. 所属分类:专业指导

    • 发布日期:2011-01-06
    • 文件大小:627
    • 提供者:sd501
  1. EDA六位十六进制可逆计数器和七段译码器

  2. 用MaxPlusII实现的六位可逆十六进制可逆计数器和七段译码器,在altera的芯片上亲测可用,用MaxPlusII打开顶层设计图以后,直接下载到芯片上就可以运行了。
  3. 所属分类:硬件开发

    • 发布日期:2011-12-10
    • 文件大小:394240
    • 提供者:woshidashabiab
  1. 7448作为译码器进行七段数码管的显示

  2. 作为译码器的7448用来进行七段数码管的显示
  3. 所属分类:专业指导

    • 发布日期:2011-12-19
    • 文件大小:118784
    • 提供者:gushaofeng
  1. 七段译码器的设计实验

  2. 用verilog语言写的七段译码器的实验,尽管代码挺简洁的,但用处很大,对学习数字逻辑电路的同学很有帮助。
  3. 所属分类:专业指导

    • 发布日期:2012-03-31
    • 文件大小:308224
    • 提供者:ba12346
  1. VHDL七段译码器

  2. VHDL七段译码器,输入二进制代码,通过译码器,能够直接在数码管上显示
  3. 所属分类:硬件开发

    • 发布日期:2013-12-11
    • 文件大小:726
    • 提供者:u013115986
  1. eda实验报告

  2. eda课程的实验报告模板 关于七段译码器在实验板上显示
  3. 所属分类:讲义

    • 发布日期:2015-05-14
    • 文件大小:106496
    • 提供者:baidu_28185305
  1. EDA七段译码器实验报告

  2. 本资源是EDA七段译码器实验报告,非常详细阿!
  3. 所属分类:专业指导

    • 发布日期:2008-12-25
    • 文件大小:504832
    • 提供者:xujianpu
« 12 3 4 5 6 7 8 9 10 »