您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 三人表决器设计原理图及程序

  2. 基于单片机的三人表决器设计原理图,附带有源程序
  3. 所属分类:硬件开发

    • 发布日期:2009-05-13
    • 文件大小:15360
    • 提供者:gushuai1208
  1. 三人表决器vhdl程序设计报告

  2. 三人表决器 vhdl程序 quartus仿真
  3. 所属分类:嵌入式

    • 发布日期:2009-09-16
    • 文件大小:159744
    • 提供者:kimitace
  1. 数电实验 熟悉软件Maxplus II

  2. 熟悉软件Maxplus II 报警器 三人表决器 通过半加器设计1位全加器 全减器的使用
  3. 所属分类:专业指导

    • 发布日期:2009-12-27
    • 文件大小:3145728
    • 提供者:loonge
  1. EDA实现三人表决器及加法器

  2. 通过EDA实现三人表决器及加法器,编译通过,供大家参考。
  3. 所属分类:其它

    • 发布日期:2010-01-23
    • 文件大小:7168
    • 提供者:zjp649527
  1. EDA的三个实验 五人表决器 九九乘法表 交通灯 用isplever仿真 有程序

  2. 包括五人表决器 九九乘法表 交通灯 用ISPlever仿真 包括程序
  3. 所属分类:交通

    • 发布日期:2010-05-10
    • 文件大小:185344
    • 提供者:a393203236
  1. 三人表决器VHDL 拨码开关

  2. 三人表决器,同意为1,不同意为0,同意过半表决通过,LED亮,表决不通过,LED灭。
  3. 所属分类:其它

    • 发布日期:2010-09-08
    • 文件大小:341
    • 提供者:q001306
  1. 三人表决器VHDL程序

  2. 使用VHDL编写的一个三人表决器,比较详细,敬请使用
  3. 所属分类:C/C++

    • 发布日期:2011-05-06
    • 文件大小:15360
    • 提供者:gaohua1111
  1. 数字逻辑课程设计(数字钟 三人表决器 “101”序列检测器)

  2. 本人设计一个数字时钟,主要用来实现00~59的秒、分六十进制计数器, 00~23的小时二十四进制计数器,整点报时,置数,清零以及数码管显示等功能。 本人设计一个运算单元,主要用来实现三人多数表决,当三个人中通过的人数比不通过的人数多时,则通过,反之,你不通过。 本人设计一个状态机,主要用来检测所输入的序列中是否有“101”序列,设置不同的状态,输入不同的信号,从而得出次态和输出。
  3. 所属分类:嵌入式

    • 发布日期:2011-07-31
    • 文件大小:217088
    • 提供者:petters
  1. 三人表决器

  2. 组合逻辑电路的分析方法 a) 给定逻辑电路→输出逻辑函数式 一般从输入端向输出端逐级写出各个门输出对其输入的逻辑表达式,从而写出整个逻辑电路的输出对输入变量的逻辑函数式。必要时,可进行化简,求出最简输出逻辑函数式。 b) 列真值表 将输入变量的状态以自然二进制数顺序的各种取值组合代入输出逻辑函数式,求出相应的输出状态,并填入表中,即得真值表。 c) 分析逻辑功能 通常通过分析真值表的特点来说明电路的逻辑功能。
  3. 所属分类:其它

    • 发布日期:2011-11-27
    • 文件大小:4194304
    • 提供者:xhh7775808
  1. 十人表决器

  2. 本课程设计主要利用PLC来完成一个十人投票机,能够对十人投票表决结果进行判断,并通过不同的LED数码管进行显示。该设计的硬件电路主要由三个部分组成:PLC模块、输入按钮开关及输出发光二级管。信号处理和转换由PLC模块来完成,其负责把按钮开关传送来的信号经一定的处理,并发出相应的输出信号。按钮开关进行输入,包括十个投票端、一个总开关和一个复位按钮。四个发光二极管包括一个电源显示和三个结果显示,不同结果对应亮不同发光二级管。
  3. 所属分类:专业指导

    • 发布日期:2012-08-02
    • 文件大小:472064
    • 提供者:zdq920293058
  1. 单片机1602 三人表决器

  2. 表决器,两个人以上同意时用yes表示,否则用NO,很有意思的一个小程序,上传给大家看一看
  3. 所属分类:C/C++

    • 发布日期:2012-08-17
    • 文件大小:4096
    • 提供者:machao6734
  1. 三人表决器设计

  2. 设计一个A、B、C三人表决电路。当表决某个提案时,多数人同意,提案通过,同时A具有否决权
  3. 所属分类:C

    • 发布日期:2013-01-12
    • 文件大小:1048576
    • 提供者:qq839988927
  1. HDL 三人表决器

  2. 这是一个三人表决器的HDL代码,包括测试程序以及仿真图像。
  3. 所属分类:讲义

    • 发布日期:2015-03-30
    • 文件大小:16384
    • 提供者:jovita233
  1. 三人表决器

  2. 本表决器共八个按键,用STC89C51单片机芯片为核心,LED显示器等设计的三人表决器课程设计。
  3. 所属分类:讲义

    • 发布日期:2015-04-19
    • 文件大小:1048576
    • 提供者:qq_27455285
  1. 单片机实现裁判三人表决器的设计程序

  2. 单片机实现裁判三人表决器的设计程序
  3. 所属分类:专业指导

    • 发布日期:2015-10-13
    • 文件大小:51200
    • 提供者:qq_31988657
  1. VHDL 的实例程序

  2. VHDL 的实例程序 三人表决器(三种不同的描述方式)
  3. 所属分类:专业指导

    • 发布日期:2008-12-31
    • 文件大小:2048
    • 提供者:dupc1988
  1. vhdl实现三人表决器

  2. 用vhdl硬件描述语言实现三人表决器,有详细过程
  3. 所属分类:其它

    • 发布日期:2009-04-14
    • 文件大小:2097152
    • 提供者:kuangjunxiang
  1. 三人表决器multisim电路

  2. 这是一个multisim画的三人表决电路,学习数字电路的时候老师叫我们写的作业,有需要的小伙伴可以下载呀
  3. 所属分类:教育

    • 发布日期:2018-04-02
    • 文件大小:92160
    • 提供者:weixin_40973904
  1. 基于multisim的三人表决器

  2. 三人表决器,基于multisim,实现三人表决功能。有一人抢答后,其余人抢答无效
  3. 所属分类:专业指导

    • 发布日期:2018-12-20
    • 文件大小:313344
    • 提供者:qq_43197037
  1. 74138实现三人表决器电路仿真

  2. 可直接运行,已经调试完成,结果通过显示屏展示出来,采用74138和与非门实现电路运行,a具有一票否决权,少数服从多数
  3. 所属分类:硬件开发

    • 发布日期:2020-03-29
    • 文件大小:115712
    • 提供者:x_23456
« 12 »