您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于PLC的三层电梯控制系统

  2. 有三层电梯系统的完整的报告,包括I/O的数量,输入输出接线图,程序控制流程图。
  3. 所属分类:专业指导

    • 发布日期:2009-06-28
    • 文件大小:150528
    • 提供者:huawufeiyang
  1. 基于PLC的三层电梯课程设计

  2. 基于PLC的三层电梯控制系统课程设计 PLC
  3. 所属分类:专业指导

    • 发布日期:2009-12-16
    • 文件大小:508928
    • 提供者:wsy0417
  1. PLC控制三层电梯的运行

  2. PLC三层电梯控制,红绿交通灯控制(交通信号灯控制),注塑机控制
  3. 所属分类:交通

    • 发布日期:2010-01-06
    • 文件大小:778240
    • 提供者:xxx3baby
  1. 基于PLC的四层电梯控制设计

  2. 利用PLC编程实现四层电梯运行的自动控制 目 录 一、设计目的 二、设计要求 三、设计方案 (1)、开门操作 (2)、上下楼操作 (3)、电梯示意图 四、实现途径 (1)、电梯工作方式分析 (2)、控制原则 (3)、I/O口分配 五、四层电梯设计梯形图 六、总结 七、参考文献 八、附录
  3. 所属分类:专业指导

    • 发布日期:2010-07-02
    • 文件大小:215040
    • 提供者:zhengzhenyu2010
  1. 三层货运电梯PLC控制

  2. 三层电梯PLC控制,赶快下吧 很详细 超值
  3. 所属分类:专业指导

    • 发布日期:2010-07-12
    • 文件大小:222208
    • 提供者:yangshuangde
  1. 三层电梯的plc控制

  2. 三层电梯的启动,停车,往返控制。以及相应的报警装置。
  3. 所属分类:专业指导

    • 发布日期:2010-11-17
    • 文件大小:376832
    • 提供者:TIANXIAFENGZI
  1. 毕业论文__基于三菱FX2N-128MRPLC五层电梯控制系统的设计

  2. 毕业论文__基于三菱FX2N-128MRPLC五层电梯控制系统的设计
  3. 所属分类:专业指导

    • 发布日期:2011-05-29
    • 文件大小:980992
    • 提供者:llh7065
  1. 三层电梯plc程序图以及相关介绍

  2. 三层电梯的plc控制 里面的程序仅供参考
  3. 所属分类:嵌入式

    • 发布日期:2011-08-02
    • 文件大小:542720
    • 提供者:fengshenban
  1. 三层电梯控制器课程设计

  2. 三层电梯控制 概述 电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过渡到在办公室、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化。对于电梯的控制,传统的方法是使用继电器——接触器控制系统进行控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。
  3. 所属分类:电子政务

    • 发布日期:2011-12-03
    • 文件大小:832512
    • 提供者:ens3620075
  1. 三层电梯 fondation vhdl

  2. 三层电梯控制电路 逻辑门电路 fondation 共七章图
  3. 所属分类:硬件开发

    • 发布日期:2011-12-22
    • 文件大小:231424
    • 提供者:fionaliuxiao
  1. 三层电梯控制-EDA课程设计(VHDL)

  2. 使用Quartus II 实现三层电梯的控制
  3. 所属分类:硬件开发

    • 发布日期:2013-04-19
    • 文件大小:144384
    • 提供者:withsnowing
  1. PLC三层电梯控制系统课程设计报告(广工)

  2. 一、系统描述 电梯系统有两种工作模式:标准工作模式、单层工作模式;模式控制开关SA为ON时,以标准工作模式工作,SA为OFF时以单层工作模式运行。 二、系统控制要求 1、标准工作模式具体控制要求如下: 1)电梯停在1层,2F、3F均有呼叫时,则电梯上升至2F暂停,门开3秒后继续上升至3F停,门开3秒钟。 2)电梯停在1层时,按2F(2层呼叫,或在电梯内按2层),则电梯上升至2层停,2F指示灯亮,门开3秒钟。 3)电梯停在1层或2层时,按3F(3层呼叫,或在电梯内按3层),则电梯上升至3层停,3
  3. 所属分类:专业指导

    • 发布日期:2013-06-28
    • 文件大小:594944
    • 提供者:mingqu123
  1. 三层电梯单片机控制流程图

  2. 流程图用于程序开发,这个用于三层电梯的程序开发
  3. 所属分类:C

    • 发布日期:2014-04-17
    • 文件大小:166912
    • 提供者:hanachenliyuan
  1. 三层电梯PLC设计梯形图

  2. 三层电梯PLC设计控制梯形图,使用的是不仅指令进行编程,通俗易懂。其中用到分支编程。
  3. 所属分类:其它

    • 发布日期:2014-06-17
    • 文件大小:83968
    • 提供者:heniyiyanga
  1. 西门子PLC三层电梯控制源程序

  2. 西门子PLC三层电梯控制源程序,有想了解这方面资料的同道中人们,可以参考一下,编程方法简洁清楚,值得一看。
  3. 所属分类:其它

    • 发布日期:2014-12-12
    • 文件大小:3072
    • 提供者:qq_18756893
  1. 俊康-单片机三层电梯控制电路 毕业设计论文.docx

  2. 俊康-单片机三层电梯控制电路 毕业设计论文.docx
  3. 所属分类:C

    • 发布日期:2017-06-26
    • 文件大小:1048576
    • 提供者:baiduwxz13yun
  1. 基于S7-200的三层电梯控制系统资料.rar

  2. 基于S7-200的三层电梯控制系统资料rar,基于S7-200的三层电梯控制系统资料
  3. 所属分类:其它

    • 发布日期:2019-10-11
    • 文件大小:67584
    • 提供者:weixin_38744435
  1. 三层电梯控制电路

  2. 三层电梯控制电路 包括强行开关门 Foundation完整电路 时序仿真无差错
  3. 所属分类:硬件开发

    • 发布日期:2011-09-26
    • 文件大小:322560
    • 提供者:fionaliuxiao
  1. EDA/PLD中的基于VHDL三层电梯控制器的设计

  2. 0 引言   电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性,源程序经A1tera公司的MAX+plus II软件仿真,目标器件选用CPLD器件。通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。   1 三层电梯控制器将实现的功能   (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。   (2)设有电梯入口处位置指示装置及电梯运行模式
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:258048
    • 提供者:weixin_38587705
  1. 基于VHDL三层电梯控制器的设计

  2. 0 引言   电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性,源程序经A1tera公司的MAX+plus II软件仿真,目标器件选用CPLD器件。通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。   1 三层电梯控制器将实现的功能   (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。   (2)设有电梯入口处位置指示装置及电梯运行模式
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:350208
    • 提供者:weixin_38616139
« 12 3 4 5 »