您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. C语言高级编程技术-最爱欢迎的的编程语言之一

  2. 高级编程技术 介绍如何利用 TC 系统所提供的相关函数实现菜单设计、图形绘制、动画的播放、乐曲 的演奏、汉字的显示、图片的显现等技术,在讲述时,以问题-解答的方式来逐渐阐明。 1 文本的屏幕输出和键盘输入........................................................................................... 1 1.1 文本的屏幕输出..........................................
  3. 所属分类:C

    • 发布日期:2009-07-22
    • 文件大小:337kb
    • 提供者:randychenzc
  1. 数据结构和算法动画演示

  2. 数据结构和算法Flash动画演示 顺序查找 顺序栈(4个存储空间) 顺序栈(8个存储空间) 顺序表的删除运算 顺序表的插入 顺序队列操作 二分查找 分块查找 三元组表的转置 串的顺序存储 单链表结点的插入 单链表结点的删除 头插法建单链表 尾插法建表 循环队列操作演示 栈与递归 冒泡排序 直接插入排序 直接选择排序 规并排序 快速排序 堆排序 希儿排序 桶式排序法 基数排序 二叉树的建立 二叉排序树的生成 二叉排序树的删除 中序线索化二叉树 寻找中序线索化二叉树指定结点的前驱 寻找中序线索化二
  3. 所属分类:其它

    • 发布日期:2010-04-11
    • 文件大小:945kb
    • 提供者:super1012
  1. vhdl实现串并转换和并串转换 包含代码

  2. 使用了vhdl语言实现了数据的串并转换,以及并串转换,包含代码,代码易懂,适合初学者学习。
  3. 所属分类:专业指导

    • 发布日期:2010-04-19
    • 文件大小:2kb
    • 提供者:ou14096
  1. 一种RS232/RS485/RS422接口转换器说明

  2. 一种RS232/RS485/RS422接口转换器说明 DM485系列转换器是标准RS232/RS485/RS422转换器,完成串口 RS232 与 RS485 / RS422之间的电气转换,可将最大通讯距离延长到1200米(4000英尺)。 DM485采用基本三线制方式:(TXD,RXD,GND),使用时无需任何软件驱动,产品采用工业级产品设计(适用温度范围-40℃~+85℃),内含静电释放保护,具有抗雷击功能,适用于各种工业、民用场合。 一、原理说明 RS232接口是一种用于近距离(最大30
  3. 所属分类:专业指导

    • 发布日期:2010-04-25
    • 文件大小:162byte
    • 提供者:hrxhrx
  1. TCP-IP详解.卷三:TCP事务协议,HTTP,NNTP和UNIX域协议

  2. 目 录 译者序 前言 第1章 概述 1 1.1 引言 1 1.2 分层 1 1.3 TCP/IP的分层 4 1.4 互联网的地址 5 1.5 域名系统 6 1.6 封装 6 1.7 分用 8 1.8 客户-服务器模型 8 1.9 端口号 9 1.10 标准化过程 10 1.11 RFC 10 1.12 标准的简单服务 11 1.13 互联网 12 1.14 实现 12 1.15 应用编程接口 12 1.16 测试网络 13 1.17 小结 13 第2章 链路层 15 2.1 引言 15 2.2
  3. 所属分类:FTP

    • 发布日期:2010-05-26
    • 文件大小:8mb
    • 提供者:shixiangming
  1. 环境监控及红外遥控系统硬件原理及时序分析(

  2. 涵盖知识及内容 上微机部分:串口控制软件设计, 控制板部分:RS232电路,外部RAM扩展,I2C总线,总线的隔离及驱动,串并转换与并串转换电路,数据采集及A/D转换,键盘接口电路,模拟开关电路,红外遥控接口电路,报警电路,LED驱动等。 逻辑时序及软件:串行通信,I2C总线协议和编程,红外遥控时序分析及编程 ,串行A/D转换程序,数码管段位表和字型表制作,浮点数处理及数制转换等。
  3. 所属分类:C

    • 发布日期:2010-12-17
    • 文件大小:967kb
    • 提供者:linsen54
  1. vhdl串并转换代码

  2. 实体定义如下: entity p_s2m_onechnl is port( reset : in std_logic; fck32m : in std_logic; clk32m : in std_logic; out2mhw_p : in std_logic_vector(7 downto 0); out2mhw_s : out std_logic ); end p_s2m_onechnl;
  3. 所属分类:专业指导

    • 发布日期:2011-04-26
    • 文件大小:2kb
    • 提供者:phoenix890815
  1. 串并转换,并串转换

  2. 利用matlab实现串并转换和并串转换的源代码
  3. 所属分类:硬件开发

    • 发布日期:2013-12-25
    • 文件大小:12kb
    • 提供者:u013269113
  1. 串并转换与并串转换的Verilog实现

  2. quartus环境下工程,自己写的sipo和piso两个模块,用verilog分别实现串并转换和并串转换,通俗易懂
  3. 所属分类:硬件开发

    • 发布日期:2014-10-09
    • 文件大小:332kb
    • 提供者:reggae25
  1. 串并转换模块(带testbench文件)

  2. 时序控制的串并转换模块,其中包括并行转串行子模块和串行转并行子模块,主时钟24Mhz;在安装了modelsim之后,直接运行testbench文件可以获得仿真结果。
  3. 所属分类:硬件开发

    • 发布日期:2015-04-25
    • 文件大小:2kb
    • 提供者:gml313
  1. DELPHI串口编程

  2. DELPHI串口编程剖析 1 第一章 背景知识 3 1. 概述 3 2. 什么是接口? 3 3. 接口分类. 3 4. 为什么需要I/O接口? 3 5. I/O接口的功能 4 6. I/O接口的通信方式 5 7. I/O接口的分类 5 8. 串口的分类 8 9. 串口通信分类 11 10. 奇偶校验 12 第二章 串口通信编程简介 13 1. 串口的通信编程流程 13 2. Unix平台下对串口进行开发的方法 13 3. Windows平台下对串口进行开发的方法 13 第三章 使用DELPHI
  3. 所属分类:Delphi

    • 发布日期:2015-12-10
    • 文件大小:22mb
    • 提供者:qq_26210257
  1. EMOD T01以太网串口转换模块产品说明书.pdf

  2. EMOD T01以太网串口转换模块产品说明书pdf,EMOD T01以太网串口转换模块产品说明书广州恩浦电子有限公司 功能简介 概述 貊着工业自动化的不断发展,智能楼宇门禁产品的普遍使用,电子技术在智能家居等行 业的广泛应用,越来越多的串口设备需要接入到局域网和互联网。随着物联网概念的提出并 被市场所认可,大量的串口设备需要接入到互联网,开启电子技术发展新的里程碑。 为了串口设备连入局域网或者互联网,需要在设备内部集成 协议栈,这对设备 的运算能力和硬件資源提岀了更咼的要求。市场普遍使用的设备对
  3. 所属分类:其它

    • 发布日期:2019-10-14
    • 文件大小:987kb
    • 提供者:weixin_38744435
  1. 华为模块逻辑电平转换设计指导-(V100R001_03, Chinese).pdf

  2. 华为模块逻辑电平转换设计指导 本设计指导介绍了使用华为模块时,外部接口电平转换电路的参考设计方法,在做各类电子模块设计时均可参考。华为模坎 HUAW∈逻辑电平转换设计指导 关于本文档 关于本文档 修改记录 文档版本日期 章节说明 01 201103-05 第一次发布 02 201203-2232标趣史新为“控制信号电半转换方法” 33增加“GPO信号电平转换方法” 3401版中的第4章合入34中,并增加“343 驱动能力问题” 03 201409-303.32增加实例来说明串口电屮转换。 , 文
  3. 所属分类:硬件开发

    • 发布日期:2019-07-20
    • 文件大小:578kb
    • 提供者:qq_39445017
  1. 四种常用FPGA/CPLD设计思想与技巧之串并转换

  2.  FPGA/CPLD 的设计思想与技巧是一个非常大的话题,由于篇幅所限,本文仅介绍一些常用的设计思想与技巧,包括乒乓球操作、串并转换、流水线操作和数据接口的同步。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:39kb
    • 提供者:weixin_38653040
  1. 基于FPGA的并串转换电路硬件实现

  2. 并串转换电路在通信接口中具有广泛的应用,可编程逻辑阵列由于具备灵活、可重构等特点非常适应于并串转换硬件电路的实现。为了解决硬件电路结构中资源与性能的矛盾,分析比较了移位寄存器、计数器与组合逻辑条件判定三种不同的并串转换硬件电路结构,并通过设计仿真对其进行了功能验证和性能评估。实验结果表明采用移位寄存器的实现方法具有最优的速度性能,采用计数器的实现方法具有最优的性价比,采用组合逻辑条件判定的实现方法具有最少的寄存器资源消耗,可根据实际应用需求合理选择并串转换硬件电路实现方式。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:398kb
    • 提供者:weixin_38686267
  1. 基于FPGA的数字磁通门传感器系统设计和实现

  2. 针对传统磁通门信号处理电路中模拟元件的缺点,设计一种基于现场可编程门阵列(FPGA)的数字磁通门系统。整个系统采用闭环结构,由激励产生模块、信号处理拱块和负反馈模块组成。外围模拟电路用高速D/A、A/D芯片取代,有利于系统温度稳定性的提到。FPGA内的数字逻辑实现了磁通门信号解算、激励正弦信号发生、D/A、A/D输入/输出串并转换的功能,首先用硬件描述语言(HDL)设计并仿真,然后下载、配置到FPGA中,调试完成后进行实验,通过实时处理双铁芯磁通门传感器探头输出信号对系统进行测试。实验结果证实了
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:180kb
    • 提供者:weixin_38601499
  1. Fairchild FIN210照相机模块的10位串-并转换方案

  2. Fairchild 公司的FIN210是低功耗10位并串/并串转换器,支持照相机和小型显示器,时钟速率高达48MHz.接口包括MCU,RGB和YUV,待机电流小于10uA,内核工作电压2.8V-3.6V,I/O电压1.65V-3.6V,I/O到低的ESD保护高达15KV,主要应用于移动手机,打印机和安全照相机.本文介绍了FIN210的主要特性,以及各种应用案例,包括在手机, 8位RGB, 8位YUV 130万象素CMOS传感器以及8位仅写入MCU接口的应用框图.
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:319kb
    • 提供者:weixin_38518518
  1. MAX9247:27位2.5MHz-42MHz DC平衡并串转换器

  2. MAX9247:27位2.5MHz-42MHz DC平衡并串转换器,能把27位(18位视频数据和9位控制数据)并行数据转换成串行数据流,预加重改善了输出的眼图和信号完整性,有所有权的数据编码降低了EMI和提供了DC平衡,LVDS输出是内部100欧姆端子,ESD保护是ISO 10605,接触放电+/-10KV,空气放电+/-30KV,核电压3.3V,输入电压能和1.8V-3.3V逻辑电平接口,基准时钟误差+/-2%,48引脚TQFP和TQFN封装,工作温度-40度到85度C, 并串转换器可用在汽车
  3. 所属分类:其它

    • 发布日期:2020-12-02
    • 文件大小:31kb
    • 提供者:weixin_38724229
  1. EDA/PLD中的基于CPLD的串并转换和高速USB通信设计

  2. 摘 要:CPLD可编程技术具有功能集成度高、设计灵活、开发周期短、成本低等特点。介绍基于ATMEL 公司的CPLD芯片ATF1508AS设计的串并转换和高速USB及其在高速高精度数据采集系统中的应用。 关键词:CPLD 串并转换 USB 可编程逻辑器件(PLD)是20世纪70年代在ASIC设计的基础上发展起来的一种划时代的新型逻辑器件。自PLD器件问世以来,制造工艺上采用TTL、CMOS、ECL及静态RAM技术,器件类型有PROM、EPROM、E2PROM、FPLA、PAL、GAL、
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:124kb
    • 提供者:weixin_38695061
  1. 通信与网络中的实现基于TCP/IP的多串口转换网关

  2. 随着企业规模的扩大和Internet技术的广泛普及,全球各个领域的不同企业已经对“让设备联网”达成共识,而在工业控制和通信设备中,更多的却是符合RS232标准的串行口设备。如何将多个串行口的数据转发到网络上,实现设备的远程控制、数据的远程传输便成了一个亟待解决的问题。同时,考虑到成本问题,以往设备又不可能全部淘汰,因此,本文提出一种基于TCP/IP的多串口转换网关,可从根本上解决这一难题。    多串口转换网关使得串口数据流到以太网数据流的传输成为可能。它能连接多个RS232串口设备,并将串口数
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:91kb
    • 提供者:weixin_38556668
« 12 3 4 5 6 7 8 9 10 ... 50 »