您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于VHDL的乒乓游戏机的设计

  2. 摘 要 VHDL是甚高速集成电路硬件描述语言。目前,VHDL已成为许多设计自动化工具普遍采用的标准化硬件描述语言。VHDL语言功能性强,覆盖面广,灵活性高,具有很好的实用性。本文设计一个基于VHDL的乒乓游戏机,乒乓游戏机由状态机、记分器、译码显示器与按键去抖等部分所组成。通过对各部分编写VHDL程序,然后进行编译、仿真、逻辑综合、逻辑适配,最后进行编程下载,并且通过GW48型EDA实验箱的验证,实现乒乓游戏机的基本功能。 关键词:VHDL;GW48;乒乓游戏机 Abstract VHDL i
  3. 所属分类:嵌入式

    • 发布日期:2009-10-16
    • 文件大小:526336
    • 提供者:abner86622
  1. FIFO 乒乓操作 低速到高速

  2. 低速入高速出的FIFO乒乓操作,调试成功可以随意更改你所需要的FIFO 大小
  3. 所属分类:专业指导

    • 发布日期:2010-04-21
    • 文件大小:1048576
    • 提供者:TCLTU
  1. 乒乓世界杂志2010年4月

  2. 4月份的乒乓世界杂志,郭跃是封面人物哦 4月份的乒乓世界杂志,郭跃是封面人物哦 4月份的乒乓世界杂志,郭跃是封面人物哦 4月份的乒乓世界杂志,郭跃是封面人物哦 4月份的乒乓世界杂志,郭跃是封面人物哦 4月份的乒乓世界杂志,郭跃是封面人物哦4月份的乒乓世界杂志,郭跃是封面人物哦
  3. 所属分类:专业指导

    • 发布日期:2010-05-04
    • 文件大小:17825792
    • 提供者:xiaoruozhou
  1. 高速DSP图像处理系统中的乒乓缓存结构研究

  2. 高速DSP图像处理系统中的乒乓缓存结构研究
  3. 所属分类:硬件开发

    • 发布日期:2011-04-02
    • 文件大小:241664
    • 提供者:Augusdi
  1. FPGA重要设计思想及工程应用之乒乓操作设计

  2. 乒乓操作是FPGA中一种重要的算法思想。本文详细讲解了乒乓操作的原理及实现方法。
  3. 所属分类:硬件开发

    • 发布日期:2011-05-07
    • 文件大小:521216
    • 提供者:lmyanlong
  1. 数字电路与逻辑设计-简易乒乓游戏机.doc

  2. 数字电路与逻辑设计-简易乒乓游戏机,希望对大家有用,可以拿去参看参考!
  3. 所属分类:专业指导

    • 发布日期:2012-03-24
    • 文件大小:611328
    • 提供者:qubes
  1. 用VHDL实现乒乓游戏机

  2. 状态机可以说是一个广义时序电路,触发器,计数器,移位寄存器等都算是它特殊功能类型的一种。实际时序电路中的状态数是有限的,因此又叫做有限状态机。用VHDL设计状态机不必知道其电路的具体实现的细节,而只需要在逻辑下加以描述,因此简单而好用。 本文在有限状态机的知识上设计了一个简单的乒乓游戏机,它可以完成游戏双方两人的发球,击球,以及自动记分功能,本文主要是介绍思路,原理,主要阐述状态机/球台控制器与记分器的设计,并通过仿真图形验证了其可行性。
  3. 所属分类:数据库

  1. 乒乓操作FIFO

  2. 乒乓操作FIFO,验证过的,用quartus II 写的!
  3. 所属分类:硬件开发

    • 发布日期:2012-08-04
    • 文件大小:1048576
    • 提供者:xiemengguilin
  1. FPGA重要设计思想及工程应用之乒乓操作及串并转换

  2. FPGA重要设计思想及工程应用之乒乓操作及串并转换设计
  3. 所属分类:硬件开发

    • 发布日期:2012-12-04
    • 文件大小:761856
    • 提供者:yanbober
  1. 内存乒乓缓存机制和消息分发机制的C代码实现

  2. 用C代码实现乒乓内存缓冲机制,具体实用价值,帮助您提高内存响应速度与及时数据的处理。
  3. 所属分类:C

    • 发布日期:2014-05-07
    • 文件大小:179200
    • 提供者:u014599399
  1. 乒乓游戏代码

  2. verilog+Altera DE2 平台的手势识别 乒乓游戏,测试可运行,某科研项目的作品
  3. 所属分类:硬件开发

    • 发布日期:2014-11-07
    • 文件大小:74752
    • 提供者:u011331330
  1. 乒乓操作verilog代码,核心

  2. 本人亲自验证,修改了一些小的bug,可以实现乒乓操作
  3. 所属分类:硬件开发

    • 发布日期:2015-05-25
    • 文件大小:45056
    • 提供者:rh291319261
  1. android手机游戏 弹跳乒乓 cocos2d-x 3.13以上开发

  2. android手机游戏 弹跳乒乓 cocos2d-x 3.13以上开发
  3. 所属分类:Android

    • 发布日期:2017-03-24
    • 文件大小:6291456
    • 提供者:gangli521
  1. 双BUFF乒乓操作案例

  2. 乒乓操作的处理流程为:输入数据通过“输入数据选择单元"将数据等时分配到两个数据缓冲模块中,在第一个缓冲周期,将输入的数据流缓存到“数据缓冲模块1"中,在第二个缓冲周期,通过“输入数据单元”切换,将输入的数据缓存到“数据缓冲模块2’’,同时将“数据缓冲模块1”缓存的第一个周期数据通过“数据选择单元”的选择,送到“数据流运算处理模块’’进行处理,在第三个缓冲周期通过“输入数据选择单元"的再次切换,将输入的数据流缓存到“数据缓冲模块1”中,同时将“数据缓冲模块2”缓存的第二个周期的数据通过“输出 数
  3. 所属分类:硬件开发

    • 发布日期:2017-09-14
    • 文件大小:523264
    • 提供者:sinat_31278329
  1. C语言打乒乓砖块,好玩哦!

  2. 非常好用的乒乓打砖块!好耍的很哦。有C语言源程序哦。
  3. 所属分类:C

    • 发布日期:2008-12-25
    • 文件大小:29696
    • 提供者:nidayewo123
  1. fpga sram乒乓操作

  2. 本代码用两片片外SRAM实现了乒乓读写操作,并提供相应的激励文件,亲测有效,可以参考
  3. 所属分类:其它

    • 发布日期:2018-05-29
    • 文件大小:4096
    • 提供者:u013081844
  1. 基于乒乓数据存储机制的FPGA视频监控系统设计

  2. 基于乒乓数据存储机制的FPGA视频监控系统设计:为使FPGA视频监控系统的显示画面更流畅,数据存储采用兵乓机制设计。先将视频数据直接存储于SDRAM中, 采用两个异步FIFO 作为缓冲器,轮流接收SDRAM的数据,在将接收YUV422 格式数据通过数据转换得到RGB565 格式 数据并驱动显示。该方案的顶层模块在modelsim中仿真成功,满足时序要求。
  3. 所属分类:图像处理

    • 发布日期:2018-09-15
    • 文件大小:1048576
    • 提供者:qq_26652069
  1. dac8830的驱动程序,乒乓缓存

  2. 在FPGA里面实现DAC8830的驱动程序,能够调节采样率,并且有SPI的发送和接受的时序代码,里面设置了发送缓冲buffer,通过乒乓实现的,数据连续。数据来源是DSP6678的SPI口发送给FPGA的。
  3. 所属分类:硬件开发

    • 发布日期:2018-10-06
    • 文件大小:8388608
    • 提供者:qq_26747797
  1. edma3的乒乓结构详解

  2. 自己整理的资料,关于EDMA3的乒乓传输说明,多个缓冲区。
  3. 所属分类:其它

    • 发布日期:2018-11-15
    • 文件大小:257024
    • 提供者:weixin_38946899
  1. UDX乒乓测试工具.zip

  2. 本工具可以测试主机间采用UDX协议后乒乓的效果,可以得到精确的延迟及乒乓的数量,对于测量网络延迟及对应用都有参考作用。
  3. 所属分类:C++

    • 发布日期:2020-05-13
    • 文件大小:320512
    • 提供者:wwwllg
« 12 3 4 5 6 7 8 9 10 ... 17 »