您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 计算机组成实验报告--定点原码乘法器设计

  2. 定点原码乘法器设计 包括实验原理 实验理论基础 实验结果 实验分析各种内容
  3. 所属分类:专业指导

    • 发布日期:2009-05-15
    • 文件大小:262144
    • 提供者:richway
  1. 乘法器 16*16 乘法器 16*16

  2. 乘法器 16*16 乘法器 16*16 乘法器 16*16
  3. 所属分类:其它

    • 发布日期:2009-05-16
    • 文件大小:402432
    • 提供者:wfj111111
  1. 浮点数乘法器的FPGA实现

  2. 基于FPGA 的浮点乘法器的硬件实现,详细阐述了其原理,重点介绍了乘法器的结构并通过了数据验证。在Maxplus Ⅱ上完成了综合仿真测试。
  3. 所属分类:硬件开发

    • 发布日期:2009-05-20
    • 文件大小:174080
    • 提供者:shadow20cn
  1. 基于FPGA实现的乘法器

  2. 用VHDL或者VERILOG HDL语言编写的FPGA器件实现乘法器
  3. 所属分类:硬件开发

    • 发布日期:2009-06-03
    • 文件大小:625664
    • 提供者:xingzhehuang99
  1. vhdl 10乘10乘法器

  2. 一个10乘10的乘法器,目前最高工作平率20mhz
  3. 所属分类:其它

    • 发布日期:2009-06-18
    • 文件大小:15360
    • 提供者:billloves
  1. 模拟乘法器及其应用 模拟乘法器及其应用

  2. 介绍了模拟乘法器的基本原理及其应用。介绍了模拟乘法器的基本原理及其应用。
  3. 所属分类:专业指导

    • 发布日期:2009-06-23
    • 文件大小:331776
    • 提供者:laite123
  1. 定点原码一位乘法器的设计

  2. 定点原码一位乘法器的设计定点原码一位乘法器的设计定点原码一位乘法器的设计定点原码一位乘法器的设计
  3. 所属分类:其它

    • 发布日期:2009-07-08
    • 文件大小:311296
    • 提供者:qls870228
  1. 阵列乘法器的设计 阵列乘法器的设计

  2. 阵列乘法器的设计阵列乘法器的设计阵列乘法器的设计
  3. 所属分类:其它

    • 发布日期:2009-07-08
    • 文件大小:712704
    • 提供者:qls870228
  1. 定点原码两位乘法器的设计

  2. 定点原码两位乘法器的设计定点原码两位乘法器的设计
  3. 所属分类:其它

    • 发布日期:2009-07-08
    • 文件大小:326656
    • 提供者:qls870228
  1. CSD编码乘法器的设计以及FPGA实现

  2. 这三篇文章都对CSD乘法器做了相关介绍,同时也给出了基于FPGA的实现方法。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-13
    • 文件大小:550912
    • 提供者:pohuaishizhe
  1. 16位乘法器 很有用

  2. 16位乘法器 16位乘法器 16位乘法器 16位乘法器
  3. 所属分类:其它

    • 发布日期:2009-08-15
    • 文件大小:52224
    • 提供者:msjjie
  1. vhdl的4位乘法器程序

  2. vhdl语言, 4位乘法器程序 用Quartus Π的VHDL语言实现乘法器的基本流程,包括设计输入、综合、适配、仿真测试等方法
  3. 所属分类:嵌入式

    • 发布日期:2009-08-21
    • 文件大小:4096
    • 提供者:welin111
  1. 三种高速乘法器的FPGA实现及性能比较

  2. 乘法是数字信号处理中重要的基本运算,在很大程度上影响着系统的性能。本文将介绍三种高速乘法器实现原理:阵列乘法器、华莱士(WT)乘法器、布斯华莱士树超前进位乘法器。而且通过FPGA技术实现了这三种乘法器,并对基于以上三种架构的乘法器性能进行了分析比较。
  3. 所属分类:硬件开发

    • 发布日期:2009-09-01
    • 文件大小:793600
    • 提供者:tianhongli72
  1. 定点乘法器硬件描述语言的设计

  2. 用硬件描述语言设计乘法器。基于BOOTH算法。
  3. 所属分类:其它

    • 发布日期:2009-09-06
    • 文件大小:471040
    • 提供者:wj_wcy
  1. c8051f410的内部时钟乘法器

  2. c8051f410的内部资源,内部时钟乘法器,希望有朋友能用到!
  3. 所属分类:C

  1. RS编码-伽罗华域乘法器设计——verilog

  2. verilog源程序,两种伽罗华域乘法器实现的源代码。
  3. 所属分类:其它

    • 发布日期:2009-11-17
    • 文件大小:2048
    • 提供者:wayne_lwy
  1. 开放式CPU设计 实验程序 运算器部件实验:乘法器、

  2. 开放式CPU设计 实验程序 运算器部件实验:乘法器 所有程序均编译测试通过 请放心下载
  3. 所属分类:其它

    • 发布日期:2009-12-02
    • 文件大小:176128
    • 提供者:w405924507
  1. 数字电路课程设计四位串行乘法器

  2. 实验内容: 题目: 4位并行乘法器的电路设计与仿真 功能要求: 1. 实现4位串行乘法器的电路设计; 2. 带异步清零端; 3. 输出为8位; 4. 单个门延迟设为5 ns。 5. 设要有完整的组合逻辑电路设计步骤; 6. 每一步骤完成要正确合理; 7. 设计电路时分模块测试。 及实验结果都有在内
  3. 所属分类:嵌入式

    • 发布日期:2009-12-28
    • 文件大小:231424
    • 提供者:sejin0908
  1. 32位DSP乘法器分析与设计

  2. 衡量DSP(数字信号处理器)芯片性能的一个重要指标是单位时间内能够完成乘累加操 作的数量。乘累加速度的增加就会使得DSP芯片运算速度增加。因此,通过对数据通路中的乘法器 进行各种设计分析,得出适合32位浮点DSP结构的乘法器,为得到较优的乘累加设计奠定了基础。
  3. 所属分类:硬件开发

    • 发布日期:2009-12-29
    • 文件大小:143360
    • 提供者:xml526600
  1. 基于VHDL 语言的浮点乘法器的硬件实现

  2. 如题,利用vhdl设计四位移位乘法器,并进行仿真分析
  3. 所属分类:嵌入式

    • 发布日期:2010-01-08
    • 文件大小:175104
    • 提供者:johnsy123
« 12 3 4 5 6 7 8 9 10 ... 50 »