您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 接口课程设计 交通控制灯

  2. 本程序是基于汇编写的一个交通控制灯的模拟的一个程序, 可以用的
  3. 所属分类:交通

    • 发布日期:2009-05-29
    • 文件大小:1048576
    • 提供者:yinge87
  1. 十字路口交通控制灯-----使用vhdl语言设计

  2. 以实验台上的4个红色指示灯、4个绿色指示灯和4个黄色指示灯模仿路口的东、西、南、北四个方向的红、绿、黄交通灯。控制这些指示灯,使它们按下列规律亮、灭: ①初始状态为四个方向的红灯全亮,时间1秒。 ②东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车,时间5秒。 ③东、西方向黄灯闪烁,南、北方向红灯亮,时间2秒。 ④东、西方向红灯亮,南、北方向绿灯亮。南、北方向通车,时间5秒。 ⑤东、西方向红灯亮,南、北方向黄灯闪烁,时间2秒。 ⑥返回2,继续运行。 ⑦若发生紧急事件,例如救护车、警车通过时,则
  3. 所属分类:交通

    • 发布日期:2009-10-04
    • 文件大小:135168
    • 提供者:Xuxin119
  1. FPGA交通控制灯VHDL

  2. 大学eda实验课程设计交通控制灯的实验VHDL代码,仅供同学们参考
  3. 所属分类:交通

  1. 数字电路课程设计交通控制灯

  2. 在本次数字逻辑电路课程设计实习中,自己所作的题目是《交通灯控制系统》。在两周的实习期间,通过《交通灯控制系统》这个题目,加深了自己对数字逻辑电路的理解。在设计当中,通过查阅诸多的资料,使自己时也掌握了几种常用数字电路芯片(74LS04 74LS161 74LS139 74LS00 74LS48)的工作原理及真值表,掌握了组合逻辑电路设计的基本步骤以及方法,学会用实事求是和科学的精神处理实际问题,初步培养了科学严谨的工作作风和态度。
  3. 所属分类:交通

    • 发布日期:2010-07-02
    • 文件大小:184320
    • 提供者:w06082118
  1. 交通灯控制灯设计交通灯控制灯设计

  2. 交通灯控交通灯控交通灯控制灯设计交通灯控制灯设计制灯设计制灯设计交通灯控制灯设计交通灯控制灯设计
  3. 所属分类:交通

    • 发布日期:2010-07-04
    • 文件大小:71680
    • 提供者:wujingang
  1. 交通控制灯参考程序及1602液晶板原理图

  2. 我们的作业,交通控制灯参考程序及1602液晶板原理图
  3. 所属分类:交通

    • 发布日期:2010-10-27
    • 文件大小:874496
    • 提供者:welia110
  1. 汇编语言编写交通控制灯 在debug工具上运行显示

  2. 用汇编语言编写交通控制灯程序,在debug工具上运行,显示交通灯
  3. 所属分类:交通

    • 发布日期:2010-11-09
    • 文件大小:46080
    • 提供者:wanggang198684
  1. 毕业论文-单片机交通控制灯的设计与实现-毕业设计

  2. 好东西,毕业论文-单片机交通控制灯的设计与实现-毕业设计
  3. 所属分类:其它

    • 发布日期:2011-06-02
    • 文件大小:5242880
    • 提供者:zgw0720271
  1. 微机原理交通控制灯实验

  2. 通过并行接口8255实现十字路口交通灯的模拟控制。0-1指示模块的D075-D077与PA5-PA7相连,作为南北路口的交通灯,D071- D073与PA0-PA2相连,作为东西路口的交通灯。编程使六个灯按以下规律变化:南北路口的绿灯、东西路口的红灯同时亮30秒;南北路口的黄灯闪烁若干次,同时东西路口红灯继续亮;南北路口的红灯、东西路口的绿灯同时亮30秒;南北路口的红灯继续亮,同时东西路口的黄灯闪烁若干次;重复以上步骤。
  3. 所属分类:专业指导

    • 发布日期:2011-10-15
    • 文件大小:40960
    • 提供者:wujiacchen
  1. 数字逻辑课程设计——交通控制灯

  2. 合工大数字逻辑课程设计 包含完整详尽的报告和可运行的代码 交通控制灯 设计要求:以实验台上的4个红色指示灯、4个绿色指示灯和4个黄色指示灯模仿路口的东、西、南、北四个方向的红、绿、黄交通灯。控制这些指示灯,使它们按下列规律亮、灭: ①初始状态为四个方向的红灯全亮,时间1秒; ②东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车,时间5秒; ③东、西方向黄灯闪烁,南、北方向红灯亮,时间2秒; ④东、西方向红灯亮,南、北方向绿灯亮。南、北方向通车,时间5秒; ⑤东、西方向红灯亮,南、北方向黄灯闪烁
  3. 所属分类:其它

    • 发布日期:2011-11-22
    • 文件大小:3145728
    • 提供者:wanglhcst
  1. 单片机交通控制灯的设计与实现

  2. 单片机交通控制灯的设计与实现
  3. 所属分类:C

    • 发布日期:2012-01-05
    • 文件大小:379904
    • 提供者:xiaod74
  1. 89机交通控制灯的设计与实现-毕业设计.zip

  2. 89机交通控制灯的设计与实现-毕业设计,包括完整的电路图与源程序代码。
  3. 所属分类:其它

    • 发布日期:2012-04-06
    • 文件大小:207872
    • 提供者:yhg20090519
  1. 状态机交通控制灯traffic_nong_success

  2. 状态机交通控制灯traffic
  3. 所属分类:硬件开发

    • 发布日期:2012-09-14
    • 文件大小:518144
    • 提供者:zhpg009
  1. 交通控制灯

  2. VHDL 交通控制灯 已经运行验证 Quartus7.2版本以上可以打开
  3. 所属分类:嵌入式

  1. 89机交通控制灯的设计与实现

  2. 89单片机交通控制灯的设计与实现,毕业设计用
  3. 所属分类:C/C++

    • 发布日期:2013-05-21
    • 文件大小:207872
    • 提供者:tian880828
  1. 北京大学 数字电路课程设计之 交通控制灯的设计

  2. 设计任务: 设计一个十字路口的红、绿、黄三色信号交通灯控制电路。 设计要求: 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变
  3. 所属分类:硬件开发

    • 发布日期:2018-08-06
    • 文件大小:1048576
    • 提供者:qq_36589234
  1. 工业电子中的基于VHDL状态机设计的智能交通控制灯

  2. 1 设计方案    十字路口设计两组交通灯分别控制东西和南北两个方向的交通。如图1所示,当东西方向的红灯亮时,南北方向对应绿灯亮,过渡阶段黄灯亮,即东西方向红灯亮的时间等于南北方向绿灯和黄灯亮的时间之和。交通灯维持变亮的时间取决于键盘输入的控制键值。同理,当南北方向的红灯变亮时,东西方向的交通灯也遵循此逻辑。总体上由状态机实现控制,本设计中使用两个状态机分别控制东西和南北两个方向的交通。每个状态机中都设有4个状态,分别对应红灯亮、绿灯亮、黄灯亮和出现紧急状况时两个方向上的红灯同时变亮,停止倒计时
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:350208
    • 提供者:weixin_38668243
  1. 基于VHDL状态机设计的智能交通控制灯

  2. 火龙果软件工程技术中心  1设计方案十字路口设计两组交通灯分别控制东西和南北两个方向的交通。如图1所示,当东西方向的红灯亮时,南北方向对应绿灯亮,过渡阶段黄灯亮,即东西方向红灯亮的时间等于南北方向绿灯和黄灯亮的时间之和。交通灯维持变亮的时间取决于键盘输入的控制键值。同理,当南北方向的红灯变亮时,东西方向的交通灯也遵循此逻辑。总体上由状态机实现控制,本设计中使用两个状态机分别控制东西和南北两个方向的交通。每个状态机中都设有4个状态,分别对应红灯亮、绿灯亮、黄灯亮和出现紧急状况时两个方向上的红灯同时
  3. 所属分类:其它

    • 发布日期:2021-03-03
    • 文件大小:498688
    • 提供者:weixin_38513665
  1. 基于VHDL状态机设计的智能交通控制灯

  2. 火龙果软件工程技术中心  1设计方案十字路口设计两组交通灯分别控制东西和南北两个方向的交通。如图1所示,当东西方向的红灯亮时,南北方向对应绿灯亮,过渡阶段黄灯亮,即东西方向红灯亮的时间等于南北方向绿灯和黄灯亮的时间之和。交通灯维持变亮的时间取决于键盘输入的控制键值。同理,当南北方向的红灯变亮时,东西方向的交通灯也遵循此逻辑。总体上由状态机实现控制,本设计中使用两个状态机分别控制东西和南北两个方向的交通。每个状态机中都设有4个状态,分别对应红灯亮、绿灯亮、黄灯亮和出现紧急状况时两个方向上的红灯同时
  3. 所属分类:其它

    • 发布日期:2021-02-04
    • 文件大小:498688
    • 提供者:weixin_38645266
  1. 基于VHDL 状态机设计的智能交通控制灯

  2. 实现路口交通灯系统控制的方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了设计难度。采用EDA技术,应用VHDL硬件电路描述语言实现交通灯系统控制器的设计,利用MAX+PLUSⅡ集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。该灯控制逻辑可实现3种颜色灯的交替点亮、时间的倒计时,指挥车辆和行人安全通行。
  3. 所属分类:其它

    • 发布日期:2021-02-01
    • 文件大小:1048576
    • 提供者:weixin_38631329
« 12 3 4 5 6 7 8 9 10 ... 50 »