您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于PC机的任意波形发生器上,下位机程序

  2. 这是我做的一个课设,用上位机生成任意波形 的数据表,然后发送通过串口到下位机,单片机控制将数据写到DAC输出模拟波形
  3. 所属分类:硬件开发

    • 发布日期:2009-07-13
    • 文件大小:3145728
    • 提供者:abilly
  1. 数控任意波形发生程序

  2. 原创,可以设定任意波形发生的频率,还有复位键,配合1602显示可以更好的完成人机互动。
  3. 所属分类:硬件开发

    • 发布日期:2009-07-21
    • 文件大小:26624
    • 提供者:pancunhua
  1. 任意波形发生器ROM 查找表的设计

  2. 基于直接数字合成器(DDS) 技术设计的任意波形发生器中,ROM 查找表是DDS 模块的一个重要环节,主要用 于存储系统的波形数据。介绍使用C 语言、Matlab 和 三种方法生成正弦波、三角波、锯齿波和方波的查找表初始化波形数据,并对每一种方法的程序设计、参数设置以及波形仿真都作了详细说明
  3. 所属分类:其它

    • 发布日期:2009-12-25
    • 文件大小:854016
    • 提供者:quartus90
  1. 基于VHDL波形发生器

  2. --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量阶为1/51V)。
  3. 所属分类:其它

    • 发布日期:2010-04-28
    • 文件大小:80896
    • 提供者:qubo87420
  1. 波形发生器 毕业论文

  2. 基于单片机的任意波形发生器波形发生器程序清单: ORG 0000H AJMP MAIN ORG 0000BH LJMP TOINT ORG 0030H MAIN: MOV A, #30H MOV SP, A MOV 40H, #00H ;波形显初值 MOV 41H, #00H ;频率显示初值 MOV 42H, #00H MOV 43H, #00H MOV 44H, #00H MOV 45H, #00H ;参考电压显示初值 MOV 46H, #00H ;设置标志初值 MOV DPTR, #7FF
  3. 所属分类:硬件开发

    • 发布日期:2010-05-22
    • 文件大小:11534336
    • 提供者:XXZZMM123456
  1. 多功能波形发生器VHDL程序与仿真

  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。
  3. 所属分类:嵌入式

    • 发布日期:2010-07-01
    • 文件大小:62464
    • 提供者:atidashi
  1. veriog 任意波形发生器程序

  2. veriog 任意波形发生器程序,经过验证,能很好的编译,希望大家踊跃下载哈
  3. 所属分类:专业指导

    • 发布日期:2010-11-12
    • 文件大小:51200
    • 提供者:ytb522
  1. 微机接口任意波形发生器

  2. 微机接口的课程设计,任意波形发生器,还有一个8255的键盘扫描程序
  3. 所属分类:专业指导

  1. 单片机的波形发生器.

  2. 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。目前使用的信号发生器大部分是函数信号发生器,且特殊波形发生器的价格昂贵。所以本设计使用的是DAC0832芯片构成的发生器,可产生三角波、方波、正弦波等多种特殊波形和任意波形,波
  3. 所属分类:硬件开发

    • 发布日期:2010-12-30
    • 文件大小:491520
    • 提供者:a941355512
  1. AD9852驱动 可以产生任意波形

  2. 这是一个AD9852产生任意波形的C程序,可以删减成你要的输出波形,是一个很好的资源
  3. 所属分类:C

    • 发布日期:2011-03-22
    • 文件大小:799
    • 提供者:woshijiafej
  1. EDA基于CPLD的任意波形发生器

  2. 《任意波形发生器》实训是采用Max+PlusII开发平台,VHDL编程实现,基于可编程器件CPLD设计任意波形发生器。整个系统除了晶体振荡器和D/A数模转换外,全部集成在一块EP1K30TC144-3芯片上。它可输出频率、幅度可调的正弦波、方波、三角波多种波形。波形模块可由用户自行编写程序和波形数据,经下载在不改变整个硬件连接系统连接的情况下,输出我们所需要的波形,实现了传统信号发生器不具有的一些波形的产生。
  3. 所属分类:硬件开发

    • 发布日期:2011-07-07
    • 文件大小:1048576
    • 提供者:su1021587300
  1. 实现4种常见波形正弦、三角、锯齿、方波VHDL程序代码

  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 e28f8caeb006
  3. 所属分类:硬件开发

    • 发布日期:2011-07-21
    • 文件大小:9216
    • 提供者:lbc6036
  1. STM32 数字移相器(任意波形)

  2. 移向精度由系统延时函数的精确度决定。1khz的信号,一周期720个采样点,可精确到0.36度。本程序使用野火开发板开发。
  3. 所属分类:嵌入式

    • 发布日期:2020-05-20
    • 文件大小:5242880
    • 提供者:yangzhe1215
  1. 火龙果开发板(redpitaya)读取任意波形为码表配套程序 AcquireTriggerSoftware.c

  2. 火龙果开发板(redpitaya)读取任意波形为码表配套程序,与教程火龙果(redpitaya)开发板常用接口开发指南(十)——读取任意波形为码表 配套使用
  3. 所属分类:C

    • 发布日期:2020-05-09
    • 文件大小:2048
    • 提供者:qq_38470434
  1. 火龙果开发板(redpitaya)产生任意波形配套程序 GenerateArbitraryWaveform.c

  2. 火龙果开发板(redpitaya)产生任意波形配套程序,与教程火龙果(redpitaya)开发板常用接口开发指南(八)——产生任意波形 配套使用
  3. 所属分类:C

    • 发布日期:2020-05-09
    • 文件大小:1024
    • 提供者:qq_38470434
  1. EDA/PLD中的基于FPGA的任意波形发生器设计与研究

  2. 任意波形发生器( Arbit rary Waveform Generato r,AWG) 是一种多波型的信号发生器, 它不仅能产生正弦波、指数波等常规波形, 也可以表现出载波调制的多样化, 如: 产生调频、调幅、调相和脉冲调制等。更可以通过计算机软件实现波形的编辑, 从而生成用户所需要的各种任意波形。任意波形发生器的实现方案主要有程序控制输出、DMA 输出、可变时钟计数器寻址和直接数字频率合成( DDS) 等多种方式 。目前任意波形发生器的研制主要基于DDS 技术, 与传统的频率合成器相比, D
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:302080
    • 提供者:weixin_38576561
  1. 基于FPGA的任意波形发生器设计和实现

  2. 波形发生器是一种数据信号发生器,在调试硬件时,常常需要加入一些信号,以观察电路工作是否正常。用一般的信号发生器,不但笨重,而且只发一些简单的波形,不能满足需要。例如用户要调试串口通信程序时,就要在计算机上写好一段程序,再用线连接计算机和用户实验板,如果不正常,不知道是通讯线有问题还是程序有问题。用E2000/L的波形发生器功能,就可以定义串口数据。通过逻辑探勾输出,调试起来简单快捷。任意波形发生器是目前电子测量仪器中发展最为快速的产品之一。它既可输出标准函数信号,也可以产生由用户定义的非标准函数
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:304128
    • 提供者:weixin_38597300
  1. 电子测量中的AMC2426 双通道50MHz任意波形发生器模块

  2. AMC2426 双通道50MHz任意波形发生器支持动态组态,可输出十余种标准波形及复杂多样的任意波波形,同时能实现调频、调幅、键频、扫频等多种功能。在VXI总线系统中能保持良好的工作状态,符合VXI总线规范。广泛应用于航天航空领域内的各行各业。   总线特性 VXI总线规范,即插即用 尺寸 单插宽,C尺寸 设备类型 寄存器基模块 驱动程序 符合VXI Plug&Play规范 支持95/98/2000/NT框架 技术指标
  3. 所属分类:其它

    • 发布日期:2020-11-25
    • 文件大小:50176
    • 提供者:weixin_38590309
  1. 能控制任意波形发生器的并行端口

  2. 你可以用PC的并行端口和少量的外接部件来构成一个功能强大和使用方便的任意波形发生器。使用Visual Basic程序与图1所示电路,只要输入相应的波形特征方程,就可以产生任何波形(例如,正弦波、三角波、调幅波、调频波或按指数衰减的波形)。就图1电路而言,并行端口与4个锁存器(IC1 、IC2、IC5和IC6)相连接。IC5提供控制信号,IC1和IC6把数据传送到存储器,IC2控制一个VFC(电压-频率转换器)。在负载波形运算期间,波形数据从并行端口经由锁存器芯片IC1和IC6传送到存储器芯片IC
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:46080
    • 提供者:weixin_38557670
  1. 基于FPGA的任意波形发生器设计与研究

  2. 任意波形发生器( Arbit rary Waveform Generato r,AWG) 是一种多波型的信号发生器, 它不仅能产生正弦波、指数波等常规波形, 也可以表现出载波调制的多样化, 如: 产生调频、调幅、调相和脉冲调制等。更可以通过计算机软件实现波形的编辑, 从而生成用户所需要的各种任意波形。任意波形发生器的实现方案主要有程序控制输出、DMA 输出、可变时钟计数器寻址和直接数字频率合成( DDS) 等多种方式 。目前任意波形发生器的研制主要基于DDS 技术, 与传统的频率合成器相比, D
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:371712
    • 提供者:weixin_38709100
« 12 3 4 5 6 »