您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL实现的偶数分频

  2. VHDL实现的偶数分频,带工程文件,仿真通过
  3. 所属分类:嵌入式

    • 发布日期:2009-05-28
    • 文件大小:147456
    • 提供者:zy439958
  1. vhdl分频器的设计

  2. 详细说明 分频器的设计,奇数,偶数分频器,任意数分频器等,
  3. 所属分类:专业指导

    • 发布日期:2009-08-21
    • 文件大小:421888
    • 提供者:greatwill88
  1. 如何实现小数分频?(verilog)

  2. 奇数、偶数分频非常常用,但是有些时候我们必须使用小数的分频,如何做到?该文章详细讲述了此方法。
  3. 所属分类:专业指导

    • 发布日期:2010-05-17
    • 文件大小:394240
    • 提供者:dddsanyoddd
  1. 用Verilog代码编写的奇偶分频器

  2. 实现任意奇偶分频用Verilog编写的分频器//偶数倍分频:偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实现的。如进行N倍偶数分频,那么可以通过由待分频的 //时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数。以此循 //环下去。这种方法可以实现任意的偶数分频。
  3. 所属分类:C/C++

    • 发布日期:2010-05-28
    • 文件大小:27648
    • 提供者:olzzz
  1. 基于FPGA的分频器的实现

  2. 详细描述了基于FPGA的偶数分频以及奇数分频的各种实现方法,并分别作了比较
  3. 所属分类:硬件开发

    • 发布日期:2010-08-29
    • 文件大小:3145728
    • 提供者:xiangmax
  1. 16分频器的Verilog HDL程序源代码

  2. 运用Verilog HDL语言编写16进制分频器,适当调整参数,都可实现偶数分频
  3. 所属分类:电信

    • 发布日期:2011-03-17
    • 文件大小:419
    • 提供者:shenxielangzi
  1. fpga奇偶分频源代码实现

  2. fpga奇偶分频源代码实现 偶数倍分频:如进行N倍偶数分频,那么可以通过由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数。以此循环下去。这种方法可以实现任意的偶数分频。 奇数倍分频:归类为一般的方法为:对于实现占空比为50%的N倍奇数分频,首先进行上升沿触发进行模N计数,计数从零开始,到(N-1)/2进行输出时钟翻转,然后经过(N-1)/2再次进行翻转得到一个占空比非50%奇数n分频时钟。再者同时进行下降沿触发的
  3. 所属分类:硬件开发

    • 发布日期:2011-06-13
    • 文件大小:2048
    • 提供者:zhaoojingg
  1. 通用的Verilog HDL 奇数偶数分频器

  2. 文中的第一个模块为通用的偶分频模块,第二个模块为通用的奇分频模块,2个模块分频占空比都为1:1,使用时只需将相应模块中parameter DIV_N = N; 中的N改为想要的分频数即可。
  3. 所属分类:嵌入式

    • 发布日期:2011-07-18
    • 文件大小:14336
    • 提供者:nyj981
  1. verilog实现计数器和分频器

  2. verilog实现计数器和分频器,是初学者可以参考的。 计数器是分方向控制的,分频可以实现偶数分频和奇数分频
  3. 所属分类:硬件开发

    • 发布日期:2011-07-21
    • 文件大小:841728
    • 提供者:huha3550
  1. vhdl 实现奇偶数分频,即任意整数分频

  2. vhdl 实现奇偶数分频,即任意分频。文件为用quartus ii 10.0 建立工程,使用modelsim仿真
  3. 所属分类:嵌入式

    • 发布日期:2011-08-04
    • 文件大小:681984
    • 提供者:fuck_csnd
  1. 分频器的verilog代码

  2. 如何用verilog代码编写出各种不同的分频器,本文档给你详细讲述奇数分频、偶数分频、小数分频。。。
  3. 所属分类:硬件开发

    • 发布日期:2011-10-06
    • 文件大小:28672
    • 提供者:yangjay630
  1. FPGA任意分频

  2. 本文档描述了FPGA上实现奇数分频,偶数分频,小数分频的具体原理及实现方法
  3. 所属分类:硬件开发

    • 发布日期:2011-10-28
    • 文件大小:99328
    • 提供者:zhichaowang1985
  1. 使用VHDL进行各种分频器设计

  2. 本文使用实例描述了在FPGA/CPLD上使用VHDL进行分频器设计,包括偶数分频、非50%占空比和50%占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。
  3. 所属分类:硬件开发

    • 发布日期:2012-01-09
    • 文件大小:547840
    • 提供者:suelong2002
  1. 任意偶分频

  2. VHDL实现任意偶数分频,用modelism仿真程序可以使用。
  3. 所属分类:硬件开发

    • 发布日期:2013-05-10
    • 文件大小:177152
    • 提供者:tyoung1020
  1. 任意偶数分频电路

  2. VHDL实现任意偶数分频电路,直接在Ise里运行就行
  3. 所属分类:硬件开发

    • 发布日期:2013-05-31
    • 文件大小:597
    • 提供者:liuying1987911
  1. 基于FPGA的任意数值分频器设计

  2. 基于FPGA的任意数值分频器设计,偶数分频,奇数分频,任意小数分频
  3. 所属分类:嵌入式

    • 发布日期:2013-11-12
    • 文件大小:415744
    • 提供者:u012809088
  1. VHDL分频器设计

  2. VHDL语言的分频器设计,包括整数分频,小数分频,偶数分频,奇数分频,半整数分频等。
  3. 所属分类:其它

    • 发布日期:2015-06-07
    • 文件大小:421888
    • 提供者:hejunbo790522
  1. Verilog奇数偶数分频详细讲解

  2. Verilog奇数偶数分频讲解 以及占空比为50%的奇数分频办法
  3. 所属分类:讲义

    • 发布日期:2017-05-10
    • 文件大小:16384
    • 提供者:weixin_37702624
  1. 任意分频器

  2. 通过设计任意分频器,学习较复杂的数字系统的设计方法。通过设计任意分频器,掌握电路中人工生成分频时钟设计方法.分频器工作时分析分频出来的信号,掌握时钟信号的使用注意事项。完成任意分频器功能,通过端口输入分频系数,按照分频系数的值作信号分频,可以实现奇数分频和偶数分频两种分频方法
  3. 所属分类:硬件开发

    • 发布日期:2018-03-01
    • 文件大小:388096
    • 提供者:qiaoningning
  1. 分频电路的设计.doc

  2. 本文档包含了非常全面的分频电路的设计,包括计算书分频、偶数分频、小数分频、分数分频等,希望对大家有所帮助
  3. 所属分类:讲义

    • 发布日期:2020-04-21
    • 文件大小:209920
    • 提供者:bujia44
« 12 3 4 5 »