您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 1位二进制全加/减器设计(实验报告)

  2. 1位二进制全加器的设计(采用原理图输入) 用原理图输入法设计一个1位二进制半加器;再用两个半加器和一个或门组成一位二进制全加器
  3. 所属分类:专业指导

    • 发布日期:2011-09-16
    • 文件大小:716800
    • 提供者:huakaiba
  1. 简单多媒体视频播放器

  2. 课程设计的题目,用VC6.0编制的简单多媒体播放器。已实现的功能有:播放、暂停、停止、上一曲、下一曲、全屏、文件打开、播放列表打开、文件拖入播放、点击暂停、媒体文件的文件名 时间 大小 类型等属性读取并显示、左右声道控制、音量加减、静音功能、音量滑条、播放模式选择、位图按钮、按钮提示、独立播放进度条、播放进度滑条、关于对话框。Windows media player、wmp、VC、play、pause、stop、fullscreen、fileopen、DropFiles、ToolTips、Sl
  3. 所属分类:C/C++

    • 发布日期:2012-06-25
    • 文件大小:372736
    • 提供者:czczs
  1. 二位全加器

  2. 二位全加器一位减法器、一位加法器的原理图输入和文本输入、编译校验及功能仿真
  3. 所属分类:其它

    • 发布日期:2012-10-29
    • 文件大小:21504
    • 提供者:a1138032
  1. verilog 全加减器 选择器 代码

  2. verilog 全加减器 选择器 代码 通过编译···
  3. 所属分类:硬件开发

    • 发布日期:2013-04-19
    • 文件大小:2097152
    • 提供者:shenyecheng
  1. 一位全加全减器,数字电路实验,华中科技大学

  2. 一位全加全减器,数字电路实验,华中科技大学
  3. 所属分类:专业指导

    • 发布日期:2014-03-08
    • 文件大小:178176
    • 提供者:wangchenmin_
  1. 一位二进制全加/减器设计

  2. library ieee; use ieee.std_logic_1164.all; entity addt is port (ain,bin,cin :in std_logic; cout,sum :out std_logic); end entity addt; architecture fd1 of addt is component h_adder port(a,b: in std_logic; co,so:out std_logic); end component; componen
  3. 所属分类:C

    • 发布日期:2008-12-07
    • 文件大小:253952
    • 提供者:array258852258
  1. 设计实现一个加/减法器

  2. 设计实现一个加/减法器,该电路在M控制下进行加、减运算。当M=0时,实现全加器功能;当M=1时,实现全加器功能。
  3. 所属分类:硬件开发

    • 发布日期:2018-05-14
    • 文件大小:65536
    • 提供者:bo123_
  1. 基于Verilog结构化建模的16位的全减器

  2. 代码是基于Verilog结构化建模的16位的全减器; 设计参考本人上传资源中16位全加器设计,16位全减器由4个4位的全减器构成;4位全减器由4个1位的全减器构成;1位全减器由2个半减器和1个异或门构成。
  3. 所属分类:硬件开发

    • 发布日期:2018-07-27
    • 文件大小:2048
    • 提供者:qq_31799983
  1. 一位的全加法器,四位加减法器设计(logisim).zip

  2. 在Logisim设计一个用4个FA4构成一个4位的加减法器:可以在引脚输出结果,并显示在LED上。输入采用手动设置引脚
  3. 所属分类:讲义

    • 发布日期:2020-04-29
    • 文件大小:3072
    • 提供者:liuyiming2019
  1. 1.8位可控加减法器.jpg

  2. 运算器实验 8位可控加减法器 sub=0时表示加法,否则减法 我们可以用8个一位全加器串行进位实现8位加法 如果要做减法就加上减数的补码,这里的补码可以按位取反(即异或1),再最低位加1(即最低位给一个进位信号)
  3. 所属分类:数据库

    • 发布日期:2020-04-22
    • 文件大小:99328
    • 提供者:weixin_45242355
  1. web本地视频播放器源码

  2. 完整代码,需要web服务器,(直接拖动到浏览器可以加载但不能播放) 实现功能需求: 1,禁用默认视频控制栏,自定义视频进度,音量控制栏 2,按空格,上下左右,实现视频暂停,音量加减,视频前进后退(除此在网页内禁用除了f5 f12 及视频操作键以外的键) 3,禁用鼠标在video时的滑轮事件改为音量 4,用input type=“file” 获取文件地址。可以上传多个视频,或文件夹,(过滤非MP4) 5,实现全屏,及全屏修改自定义控制栏样式 6,由于浏览器全屏时不能获取有关节点,则监
  3. 所属分类:Javascript

    • 发布日期:2019-12-29
    • 文件大小:4194304
    • 提供者:liuyonghhh
  1. 现代N300变频器产品样本.pdf

  2. 现代N300变频器产品样本pdf,现代N300变频器产品样本兼备强有力转矩及棼功能的 现代变频器-N3oO系列出市 F即n △ RUN N300 PIr: eIt 直F品 A CONTENTS 特性 接线图 规格 王接FLC…29 形驾 适配器件及选件 操作 14矩特性 功能表 16温庋特性 子功能 25确操作注意n 保护功能 27 . RUN N300- 特性 ①有先进无速度传感失量控制的高转矩行 用先速传罟矢量袆交转哐运行 车巨特性 05H大于20%的高后专矩 2C0 NC005L「驭动现
  3. 所属分类:其它

    • 发布日期:2019-10-20
    • 文件大小:4194304
    • 提供者:weixin_38744375
  1. 现代N100变频器产品样本.pdf

  2. 现代N100变频器产品样本pdf,现代N100变频器产品样本INTRODUCTION0203■ NI○Ps变频器, STR 强代无速度传感器矢量功能。 强化自动调节功能 0强化了P功能。 具有电抑制功能实现了TRPE$S行 UNDAI 追加通过RS485通训的MM功能 取得海外认证规格 为便利使用开发了多样选件 N1OPs型号 10110101011100 01001 N100 Plus 004LIFE 0010100 系列名称 10 最大距电机容量4M~W 辅入电压(S:单相200级):3旧2
  3. 所属分类:其它

    • 发布日期:2019-10-20
    • 文件大小:3145728
    • 提供者:weixin_38744207
  1. 合康高压变频器HIVERT-Y(T)VF 矿井提升机高压变频器.pdf.pdf

  2. 合康高压变频器HIVERT-Y(T)VF 矿井提升机高压变频器.pdfpdf,合康高压变频器HIVERT-Y(T)VF 矿井提升机高压变频器变压器配有温控仪,控制系统通过温控仪实时监控变压器绕组温度:当变压器绕组温度较高时,系统 发岀轻故障报警并开启变压器底部风杋;当温度超过报警或跳闸设定值肘,系统发岀轻故障报警或跳闸信 功率单元 功咩元输入端与电抗器相连后接变压器二次线圈的三相低压输出。当变频器适配电机功率小于 180υkw时,功率单元增加缓冲环节,用以单元预充电限流及保护输入侧IGBT。 你
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:648192
    • 提供者:weixin_38744153
  1. 富士电机多功能型变频器FRENIC-MEGALite系列样本.pdf

  2. 富士电机多功能型变频器FRENIC-MEGALite系列样本pdf,本资料是关于富士电机高性能多功能型变频器FRENIC-MEGALite系列样本,更多详细内容请点击下载!FRENID MEGA LiiE ■广泛的用途 简单操作维护保养支持的提高 防止物品滑落的功能 具有利于速度指令工作的功能 搭载UsB接口实现Pc加载器简易信息管理!(选配件)型号:TPE1U 在上下撇运等过程中,提高了制动信号 将脉冲列翰入功能作为标准配置 提高现场作业性能 ●在生产现场,从操作面板上的US日接口可直接向计算
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:2097152
    • 提供者:weixin_38743602
  1. 四位全加全减器

  2. 四位全加全减器实现 library IEEE;--四位全加全减器(复用加法器) use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all;--要用信号加法,要加此句 entity AM is port( Flag:in std_logic;--1为减法 0为加法 Cin :in std_logic;--进位(借位)输入 A,B :in std_logic_vector(3 downto 0);--A为加(减)数,B为
  3. 所属分类:其它

    • 发布日期:2012-05-04
    • 文件大小:151552
    • 提供者:zhangqinguili
  1. logsim8位可控加减法电路设计.txt

  2. 帮助学生掌握一位全加器的实现逻辑,掌握多位可控加减法电路的实现逻辑,熟悉 Logisim 平台基本功能,能在 logisim 中实现多位可控加减法电路。仅供参考
  3. 所属分类:专业指导

    • 发布日期:2020-06-05
    • 文件大小:627712
    • 提供者:m0_47399957
  1. 基于STM32F407的音乐播放器设计-1.44寸st7735.rar

  2. 基于STM32的音乐播放器设备 器件: ①STM32F407主控板; ②1.44st7735全彩显示屏,内含资料; ③音频解码模块--WM8978,内含资料; ④SD卡,存放音频文件; ⑤喇叭,播放音频文件; ⑥按键,音量加减,上一首,下一首。 功能: ①把音频文件加入SD卡,不管里面放的是图片还是文本啥的,设备会自动识别索引; ②实现上一首,下一首,音乐清晰播放; ③实现音量加减; ④实现显示歌曲名字,歌手名字; ⑤实现总曲目数,当前播放的曲目数; ⑥实现歌曲播放进度,是以进度条方式显示,不
  3. 所属分类:硬件开发

    • 发布日期:2020-07-14
    • 文件大小:74448896
    • 提供者:qq_37150405
  1. 一种锁定相位编程可调全数字锁相环设计

  2. 1 引 言 锁相技术在信号处理、调制解调、时钟同步、倍频、频率综合等领域都得到了广泛的应用。目前锁相技术的实现主要有模拟锁相环(APLL)、全数字锁相环(DPLL)、模拟数字混合锁相环与延迟锁相环(DLL)四种。全数字锁相环(DPLL)具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调等优点.得到了广泛应用。 经典全数字锁相环路由数字鉴相器、K模可逆计数器、脉冲加减控制电路和N分频器4部分组成。在输入信号频率稳定条件下,锁相环锁定时输出信号与输入信号正交。在通信和其他很多应用领域,
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:159744
    • 提供者:weixin_38732463
  1. 基于周期极化反转铌酸锂光波导的全光信号处理

  2. 利用周期极化反转铌酸锂(PPLN)光波导中和频(SFG)、级联倍频(SHG)和差频(DFG)、级联和频与差频等二阶和级联二阶非线性效应,提出并理论研究了基于单个PPLN光波导实现40 Gbit/s全光半加器、半减器、与门、或门、异或门等多种逻辑功能。提出并理论研究了基于PPLN光波导环形镜结构实现非归零码(NRZ)到归零码(RZ)的全光码型转换。实验验证了10 Gbit/s和20 Gbit/s基于PPLN光波导NRZ到RZ的全光码型转换。
  3. 所属分类:其它

    • 发布日期:2021-02-26
    • 文件大小:643072
    • 提供者:weixin_38531788
« 12 3 4 5 6 7 8 9 10 »