您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于 FPGA 的高阶全数字锁相环的设计与实现

  2. 提出了一种实现高阶全数字锁相环的新方法。该锁相环以数字比例积分控制取代了传统的一些数字环路滤 波控制方法,具有电路结构简单、控制灵活、跟踪精度高、环路性能好和易于集成的特点
  3. 所属分类:嵌入式

    • 发布日期:2009-08-17
    • 文件大小:315392
    • 提供者:xiaoxiaoha
  1. 一种基于全数字锁相环的2FSK解调方法

  2. 本文研究了一种采用全数字锁相环实现频移键控FSK信号解调的新方案。该方案基于可编程门阵列FPGA器件,用一个FSK解调实例介绍了全数字锁相环和解调器设计的过程。所设计的电路通过软件验证和硬件仿真,证明电路工作准确稳定,可以满足实际要求。
  3. 所属分类:嵌入式

    • 发布日期:2010-01-19
    • 文件大小:677888
    • 提供者:zhfaji
  1. 全数字锁相环 verilog HDL 程序

  2. 本程序包是我花费了两个月时间调试出来的全数字锁相环程序,极具参考价值。望刚刚涉及到锁相环的同仁可以好好利用。
  3. 所属分类:专业指导

    • 发布日期:2010-01-19
    • 文件大小:122880
    • 提供者:snowflack510
  1. Matlab环境下的全数字锁相环仿真模型

  2. Matlab环境下的全数字锁相环仿真模型 陈鑫,邓小莺 微电子学
  3. 所属分类:其它

    • 发布日期:2010-04-23
    • 文件大小:251904
    • 提供者:duck_tzj
  1. 全数字锁相环的verilog源代码

  2. 挺好的全数字锁相环的verilog源代码,可直接拿来用
  3. 所属分类:专业指导

    • 发布日期:2010-10-19
    • 文件大小:4096
    • 提供者:zhenyujiel
  1. 基于VHDL的全数字锁相环的设计

  2. 基于VHDL的全数字锁相环的设计基于VHDL的全数字锁相环的设计基于VHDL的全数字锁相环的设计基于VHDL的全数字锁相环的设计基于VHDL的全数字锁相环的设计基于VHDL的全数字锁相环的设计基于VHDL的全数字锁相环的设计基于VHDL的全数字锁相环的设计
  3. 所属分类:硬件开发

    • 发布日期:2011-10-12
    • 文件大小:167936
    • 提供者:devpearl110
  1. 全数字锁相环及其数控振荡器的FPGA设计.pdf

  2. 介绍了全数字锁相环工作原理,如何设计数控振荡器以及FPGA内部实现的功能结构等
  3. 所属分类:专业指导

    • 发布日期:2011-12-16
    • 文件大小:514048
    • 提供者:woshiyigexinren
  1. verilog语言编写FPGA平台全数字锁相环

  2. 全数字锁相环在FPGA平台通过verilog语言编写
  3. 所属分类:电信

    • 发布日期:2015-08-06
    • 文件大小:270336
    • 提供者:hiahia025
  1. 全数字锁相环的设计与应用(经典)

  2. 全数字锁相环的设计与应用(经典), 方案的主体部分为一个ADPLL。ADPLL和传统的PLL一 样,环路主要由鉴相器(PD)、滤波器(LF)、数字控制时钟输出(DC一C0)3部分组成,详细内容请见datasheet。。。!!
  3. 所属分类:C

    • 发布日期:2009-03-02
    • 文件大小:263168
    • 提供者:gh_huang2012
  1. 一种消除反馈延迟的全数字锁相环

  2. 一种消除反馈延迟的全数字锁相环,孙高阳,刘亚静,针对传统数字锁相环存在的反馈滞后造成系统动、静态性能退化的问题,本文提出一种消除反馈滞后一拍的方法,以无反馈滞后理想数字
  3. 所属分类:其它

    • 发布日期:2020-02-03
    • 文件大小:460800
    • 提供者:weixin_38647517
  1. 基于周期控制的逆变器全数字锁相环的实现和参数设计.rar

  2. 基于周期控制的逆变器全数字锁相环的实现和参数设计rar,基于周期控制的逆变器全数字锁相环的实现和参数设计
  3. 所属分类:其它

    • 发布日期:2019-10-13
    • 文件大小:259072
    • 提供者:weixin_38744375
  1. 智能全数字锁相环的设计

  2. 智能全数字锁相环,在单片FPGA中就可以实现,借助锁相环状态监测电路,通过CPU可以缩短锁相环锁定时间,并逐渐改进其输出频率的抖动特性。解决了锁定时间与相位抖动之间的矛盾,对信息的传输质量都有很大的提高。此锁相环已用于我校研发的数字通信产品中。
  3. 所属分类:其它

    • 发布日期:2020-08-11
    • 文件大小:97280
    • 提供者:weixin_38628626
  1. 基于FPGA的可变模全数字锁相环的开发与设计_陈莉.pdf

  2. 一种基于 FPGA 的全数字锁相环的实现方法,全数字锁相环的实现具有可移植性好、体积小、低功耗、可靠性高、方便维护和升级等优点,增强了系统的可靠性和稳定性。
  3. 所属分类:硬件开发

    • 发布日期:2020-08-11
    • 文件大小:1048576
    • 提供者:fhw_smith
  1. 基于流水线技术的全数字锁相环设计

  2. 为了提高全数字锁相环的系统运行速度、降低系统功耗,同时提高锁相系统的动态性能与稳态性能,提出一种基于流水线技术的全数字锁相环。采用电子设计自动化技术完成了该系统的设计,并对所设计的电路进行了计算机仿真与分析。仿真结果证明,该锁相环中数字滤波器的参数能够根据相位误差的大小进行动态调节,既可加快锁相速度,又能增强系统的稳定性。利用流水线技术优化的整体电路能够减小系统延迟,降低系统总功耗。该锁相环可作为功能模块嵌入到片上系统,具有十分广泛的用途。
  3. 所属分类:其它

    • 发布日期:2020-10-15
    • 文件大小:1031168
    • 提供者:weixin_38582793
  1. 一种基于可变相位累加器的全数字锁相环

  2. 提出了一种具有可变相位累加器电路结构的新型全数字锁相环。采用EDA技术完成了对该系统的设计,利用ModelSim软件对所设计的电路进行了系统仿真实验,并进行了硬件实验验证。实验结果表明,含有可变相位累加器构成的全数字锁相环可拓展系统环路的锁相范围,提高锁相频率,降低系统总功耗,并且不会增加FPGA芯片内部的逻辑资源。由于该锁相环内部信号的传递是并行传输,故可大大提高系统的锁相速度。该锁相环能够作为功能模块嵌入进电子系统芯片中,可广泛应用于通信、电子测量和自动控制等领域。
  3. 所属分类:其它

    • 发布日期:2020-10-15
    • 文件大小:342016
    • 提供者:weixin_38637983
  1. 嵌入式系统/ARM技术中的一种新型带宽自适应全数字锁相环的设计方案

  2. 摘要:本文针对传统锁相环所存在的锁相范围窄、环路带宽和控制参数固定、以及提高锁相速度与减小稳态误差相互制约等问题,提出了一种新型带宽自适应全数字锁相环的设计方案。该设计方案中的系统采用比例积分控制与自适应控制相结合的复合控制方式,其中自适应控制器可根据锁相过程的鉴频鉴相信息,自动调整数字滤波器的控制参数,实现对环路的实时控制。   本方案采用理论分析与硬件电路设计相结合的方法进行了系统设计,并用FPGA予以实现。系统仿真与硬件电路测试结果证实了设计方案的正确性。该锁相环的自由振荡频率可随输入信
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:238592
    • 提供者:weixin_38568548
  1. 基于DSP Builder的带宽自适应全数字锁相环的设计

  2. 本文采用一种基于比例积分(PI)控制算法的环路滤波器应用于带宽自适应的全数字锁相环,建立了该锁相环的数学模型,并分析该锁相环的各项性能指标和设计参数之间的关系。利用DSPBuilder直接对得到的锁相环数学模型在Matlab/Simulink环境下进行系统级的建模,并进行计算机仿真,同时将建立的模型文件转换成VHDL程序代码,在QuartusⅡ软件中进行仿真验证,并用FPGA予以实现。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:549888
    • 提供者:weixin_38618540
  1. 一种新型PID控制的全数字锁相环的设计与实现

  2. 一种采用积分分离的PID控制作为环路滤波器的全数字锁相环。该滤波器对序列滤波器输出的加减脉冲个数在反馈信号的上升沿进行综合,然后通过PID控制算法将综合值作为压控振荡器的分频值来实现相位的调整,最终达到相位锁定。PID控制算法响应时间短并可控制超调量,相比PI算法具有更快的上升时间,且不增加超调量。另外,该环路具有结构简单、易于集成等特点,可以作为一个子系统或功能块构成片上系统(SoC),用以提高控制系统的可靠性,简化系统硬件结构。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:291840
    • 提供者:weixin_38632006
  1. 基于FPGA 的高阶全数字锁相环的设计与实现

  2. 提出了一种实现高阶全数字锁相环的新方法。该锁相环以数字比例积分控制取代了传统的一些数字环路滤波控制方法,具有电路结构简单、控制灵活、跟踪精度高、环路性能好和易于集成的特点。文中介绍了该高阶全数字锁相环的系统结构和工作原理,对其性能进行了理论分析和计算机仿真。应用EDA 技术设计了该系统,并用FPGA实现了其硬件电路。仿真和硬件测试结果证实了该设计的正确性。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:76800
    • 提供者:weixin_38677190
  1. 通信与网络中的一种自动变模控制的宽频带全数字锁相环

  2. 目前数字锁相环在数字通信、雷达、无线电电子学、仪表仪器、高速计算机及导航系统中得到了广泛的应用。与传统的模拟锁相环相比,全数字锁相环克服了模拟锁相环易受电压变化的影响和温度漂移的缺点,因而具有工作稳定、可靠性高、方便实现等优点。随着大规模可编程逻辑器件的发展,不仅为全数字锁相环的设计带来的前所未有的方便,而且可以把整个系统作为一个功能模块,嵌入SoC(SysteIn on Chip)中,构成片内锁相环,提高环路的工作性能,这将具有非常重大的意义。全数字锁相环的结构形式多种多样,但都是以实现锁相速
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:303104
    • 提供者:weixin_38621427
« 12 3 4 5 6 7 8 9 10 »