您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA课程设计(八位数字电子抢答器)

  2. 用VHDL语言编写的八位数据抢答器,其中包括抢答前倒计时,用mux分组积分,译码器选择锁存对象,有报警音乐
  3. 所属分类:专业指导

    • 发布日期:2009-06-25
    • 文件大小:2097152
    • 提供者:steven177170490
  1. 八路智能抢答器 数字电路设计

  2. 八路抢答器 实现八人抢答 主持人控制 有声音和LCD显示
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:350208
    • 提供者:xia881024
  1. 八人数字抢答器设计论文

  2. 八人数字抢答器,智能抢答器.具有优先锁存,定时抢答的功能
  3. 所属分类:专业指导

    • 发布日期:2009-12-27
    • 文件大小:79872
    • 提供者:today1314
  1. 八人抢答器仿真电路原理图

  2. 八人抢答器仿真电路原理图,用multisim直接打开就能用了
  3. 所属分类:嵌入式

    • 发布日期:2010-06-09
    • 文件大小:256000
    • 提供者:fanyuanzhi
  1. 八人抢答电路设计总图

  2. 设计图,完成的,不是分块的。1、抢答器分为8组,每组序号分别为1、2、3、4、5、6、7、8,按键SB0-SB7分别对应8组,抢答者按动本组按键,组号立即在LED显示器上显示,同时封锁其他组的按键信号。 2、系统外设清除键,按动清除键,LED显示器自动清零灭灯。 3、数字抢答器定时为30s,通过控制键启动抢答器后,要求30s定时器开始工作,发光二级管点亮。 4、抢答者在30s内进行抢答,则抢答有效,如果30s定时到时,无抢答者,则本次抢答无效,系统短暂报警。
  3. 所属分类:专业指导

    • 发布日期:2010-07-17
    • 文件大小:427008
    • 提供者:mfcjishiben
  1. 电子技术课程设计 八人抢答器设计

  2. 很好用 讲解份详细 如果老师下的任务有特殊要求可以根据你自己的需求改 很随意的很好
  3. 所属分类:专业指导

    • 发布日期:2010-12-14
    • 文件大小:72704
    • 提供者:sumingyuan
  1. 数字逻辑实验八人抢答器

  2. 利用动态电路搭建器件八人抢答器,包括设计方案及实验报告
  3. 所属分类:C/C++

    • 发布日期:2011-04-15
    • 文件大小:1048576
    • 提供者:chenbaoke
  1. 八人智力抢答器用VHDL实现

  2. 八人智力抢答器,用VHDL实现,适用于实验板,个人版,其中的
  3. 所属分类:IT管理

    • 发布日期:2011-06-10
    • 文件大小:3072
    • 提供者:xiyuziju
  1. 数字逻辑八人抢答器实现

  2. 数字逻辑八人抢答器实现 数字逻辑八人抢答器实现
  3. 所属分类:硬件开发

    • 发布日期:2011-12-29
    • 文件大小:1048576
    • 提供者:computer_1
  1. VHDL八人抢答器设计

  2. 用VHDL编写程序,实现八人抢答器。包括编码器,译码器,计时器,报警器等模块。
  3. 所属分类:其它

    • 发布日期:2012-12-14
    • 文件大小:950272
    • 提供者:zhuigu
  1. 八人抢答器

  2. 51单片机八人抢答器
  3. 所属分类:C

    • 发布日期:2013-04-19
    • 文件大小:4096
    • 提供者:u010372545
  1. 八人智力抢答电路Multisim源文件

  2. 八人智力抢答电路Multisim源文件 结构最简单最优化最稳定的电路
  3. 所属分类:硬件开发

    • 发布日期:2013-06-26
    • 文件大小:130048
    • 提供者:xuexiaoyi7758
  1. 八人抢答器设计

  2. 设计一个八人抢答器:裁判员设置一个清零、使能按钮,用1个数码管显示被选中参赛选手编号(从1-8)
  3. 所属分类:专业指导

    • 发布日期:2015-07-22
    • 文件大小:96256
    • 提供者:ganyuanchao
  1. 微机课程设计的八人抢答器

  2. 用8255和汇编语言制作一个的八人抢答器,主要利用一个循环移位RCL,和跳转指令来实现
  3. 所属分类:硬件开发

    • 发布日期:2008-12-12
    • 文件大小:2048
    • 提供者:a797276
  1. 八人抢答器电路设计multisim

  2. 压缩包中有八人抢答器的multisim14仿真文件,锁存器+抢答报警+超时报警+30秒倒计时,还有一份写的很详细的设计原理和实验报告。
  3. 所属分类:其它

    • 发布日期:2018-07-21
    • 文件大小:944128
    • 提供者:qq_41475442
  1. 一个FPGA实现的八人抢答器

  2. 该抢答器使用VHDL语言编写,能实现: (1)能够进行多路抢答,抢答台数为8. (2)能够在抢答开始后进行20秒倒计时,20秒倒计时后无人抢答则显示超时,并报警。 (3)能显示超前抢答台号并显示犯规警报。 (4)系统复位后进入抢答状态,当有一路抢答按键按下,那么该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。
  3. 所属分类:硬件开发

    • 发布日期:2018-09-07
    • 文件大小:496640
    • 提供者:hualuo_shuijia
  1. 八人抢答电路的设计.doc

  2. 一、 八人抢答电路的设计 1、 设计任务和要求 设计一个八人抢答电路,八个人参加比赛,每人一个开关,其中最先按下开关者,相应指示灯亮;其他人再按下开关就不起作用。   2、 设计思路 电路的核心用两片74LS175四D触发器实现,采用同步级联的方式,八个开关连接两个触发器的输入端,八个LED灯各接一个电阻后接地连接输出端,一个八与非门连接触发器的八个反相端之后接非门,非门输出与时钟CP与非输出接入两触发器的CLOCK,其中外部时钟频率为1k赫兹。
  3. 所属分类:其它

    • 发布日期:2019-07-23
    • 文件大小:49152
    • 提供者:weixin_39840914
  1. 课程设计题一:八人抢答器.zip

  2. 1、八人抢答逻辑:只有一个最先抢答有效。 2、在主持人控制下,10秒内抢答有效。 3、采用数码管显示抢答10秒倒计时,若有抢答直接结束,显示结果。 4、抢答结束后用数码管显示抢答结果:抢答有效人编号;若有异常(提前抢答,犯规),显示抢答人编号和E,本次抢答结束 。 5、设主持人控制键、复位键。            控制键:启动抢答            复位键:系统复位 6、开始、正常结束、抢答结束、违规抢答采用声音提示。 7、最后5S开始倒计时的时候有滴滴滴的计时音。
  3. 所属分类:机器学习

    • 发布日期:2019-07-01
    • 文件大小:27262976
    • 提供者:qq_38351824
  1. 数电八人抢答器课程设计

  2. 数字式竞赛抢答器具有数字显示抢答者序号功能同时配以声、光报警,以响应抢先抢答者的信号和序号,对犯规抢答者(包括提前和超前抢答)除声、光报警外,还有显示抢答犯规者序号的功能,同时还可以设置记分和奖罚记录等多种功能。
  3. 所属分类:专业指导

    • 发布日期:2011-01-16
    • 文件大小:2097152
    • 提供者:jiangminjun007
  1. 八人抢答器源代码解析.c

  2. 八人抢答器代码,适合单片机,C语言初学者交流学习,切勿用作商业用途。作者能力有限,欢迎大家交流学习。STC89C52代码。
  3. 所属分类:C

    • 发布日期:2020-12-13
    • 文件大小:7168
    • 提供者:weixin_53548434
« 12 3 »