您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 8[1].22 出租车计价器VHDL程序与仿真 2004.8修改

  2. 8[1].22 出租车计价器VHDL程序与仿真 2004.8修改
  3. 所属分类:嵌入式

    • 发布日期:2009-05-15
    • 文件大小:61440
    • 提供者:xuetuan1234
  1. 出租车计价器VHDL程序与仿真

  2. 出租车计价器VHDL程序与MODELSIM仿真!希望对大家的学习有帮助!
  3. 所属分类:嵌入式

    • 发布日期:2009-05-28
    • 文件大小:141312
    • 提供者:csuhuangzhixin
  1. 基于VHDL的程序设计集

  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MA
  3. 所属分类:嵌入式

    • 发布日期:2009-08-13
    • 文件大小:1048576
    • 提供者:lvjingsok
  1. 出租车计价器VHDL程序与仿真

  2. 出租车计价器VHDL程序与仿真,不错的资料
  3. 所属分类:嵌入式

    • 发布日期:2009-08-19
    • 文件大小:141312
    • 提供者:ljlcgs
  1. FPGA有价值的27个实例

  2. 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFS
  3. 所属分类:嵌入式

    • 发布日期:2009-11-15
    • 文件大小:1048576
    • 提供者:hb830513821001
  1. FPGA实例大全,都包含源程序仿真,实际运行通过

  2. 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFS
  3. 所属分类:嵌入式

    • 发布日期:2010-03-28
    • 文件大小:1048576
    • 提供者:hughzotob
  1. 8.22 出租车计价器VHDL程序与仿真.doc

  2. 8.22 出租车计价器VHDL程序与仿真.doc8.22 出租车计价器VHDL程序与仿真.doc
  3. 所属分类:嵌入式

    • 发布日期:2010-08-10
    • 文件大小:141312
    • 提供者:scofieldyang
  1. 出租车计价器VHDL程序与仿真

  2. 出租车计价器 entity taxi is port ( clk_240 :in std_logic; --频率为240Hz的时钟 start :in std_logic; --计价使能信号 stop:in std_logic; --等待信号 fin:in std_logic; --公里脉冲信号 cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据 km1,km0:out std_logic_vector(3 downto 0
  3. 所属分类:硬件开发

    • 发布日期:2011-03-21
    • 文件大小:141312
    • 提供者:thlove110
  1. FPGA很有价值的27实例

  2. URAT VHDL程序与仿真 频率计程序设计与仿真 LED控制VHDL程序与仿真 DAC0832 接口电路程序 FSK调制与解调VHDL程序及仿真 自动售货机VHDL程序与仿真 出租车计价器VHDL程序与仿真 电梯控制器程序设计与仿真 电子时钟VHDL程序与仿真
  3. 所属分类:嵌入式

    • 发布日期:2011-04-06
    • 文件大小:1048576
    • 提供者:xiaoxi8592
  1. vhdl实用例程 fpga很有价值的27实例教程

  2. fpga很有价值的27实例教程下载LED控制VHDL程序与仿真 LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及
  3. 所属分类:专业指导

    • 发布日期:2011-07-31
    • 文件大小:1048576
    • 提供者:xlmtong
  1. 出租车计价器VHDL程序与仿真

  2. 里面包含有基于VHDL语言编写的出租车计价器程序并有详细的注释说明,同时附上仿真图,可以顺利实现。
  3. 所属分类:硬件开发

    • 发布日期:2011-12-13
    • 文件大小:86016
    • 提供者:woolin_jenny
  1. 【新手入门VHDL】FPGA

  2. 8.2 LED控制VHDL程序与仿真 8.3 LCD控制VHDL程序与仿真 ... 8.14 MPSK调制与解调VHDL程序与仿真 ... 8.22 出租车计价器VHDL程序与仿真 ... 8.24 步进电机定位控制系统VHDL程序与仿真
  3. 所属分类:硬件开发

    • 发布日期:2016-03-09
    • 文件大小:1048576
    • 提供者:renzhezuoqing
  1. FPGA很有价值的27实例

  2. 8.2 LED控制VHDL程序与仿真 2004.8修改.doc 8.4 ADC0809 VHDL控制程序.doc 8.7 TLC7524接口电路程序.doc 8.9 ASK调制与解调VHDL程序及仿真.doc 8.12 MASK调制VHDL程序及仿真.doc 8.15 基带码发生器程序设计与仿真.doc 8.18 电子琴程序设计与仿真 2004.8修改.doc 8.20 电子时钟VHDL程序与仿真.doc 8.22 出租车计价器VHDL程序与仿真.doc
  3. 所属分类:嵌入式

    • 发布日期:2009-03-27
    • 文件大小:1048576
    • 提供者:trcache