您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. vhdl入门程序——十进制加法

  2. 本程序适合刚入门VHDL的初级学习者,程序经过测试,有波形仿真文件
  3. 所属分类:嵌入式

    • 发布日期:2009-08-07
    • 文件大小:1048576
    • 提供者:pengwr
  1. EDA完成的十进制计数器

  2. 本次能力拓展训练是作出一个十进制加法计数器,递增计数,有进位、清零、保持功能。需要按要求编写出十进制计数器的VHDL程序,调试、编译程序并绘制出仿真波形图,结果应能实现计数功能。 本次能力拓展训练意义在于复习EDA的相关技术与方法;掌握VHDL或者Verilog语言,并要求能编写程序。Quartus软件的使用:掌握程序编辑、编译、调试、仿真方法。
  3. 所属分类:嵌入式

    • 发布日期:2009-09-21
    • 文件大小:299008
    • 提供者:braimten
  1. 两十进制数相加以十六进制输出

  2. 从键盘随意输入两十进制数,再以十六进制输出
  3. 所属分类:硬件开发

    • 发布日期:2009-12-06
    • 文件大小:2048
    • 提供者:SandyZhuWanily
  1. 用C++Builder做加法算题

  2. C++Builder做加法算题 前提条件是先装C++BUIlder的这的应该软件
  3. 所属分类:C++

    • 发布日期:2010-01-28
    • 文件大小:558080
    • 提供者:panchangjie
  1. VHDL密码锁、十进制计数器的设计

  2. 用Quartus II的VHDL语言实现各种电路功能、比如四位密码锁的设计、和带异步复位的十进制加法计数器的设计。
  3. 所属分类:其它

    • 发布日期:2010-05-10
    • 文件大小:949248
    • 提供者:lego430
  1. 另类的异或---进行多进制,无制位的加法运算!

  2. 描述 对于普通的异或,其实是二进制的无进位的加法 这里我们定义一种另类的异或A op B, op是一个仅由^组成的字符串,如果op中包含n个^,那么A op B表示A和B之间进行n+1进制的无进位的加法。 下图展示了3 ^ 5 和 4 ^^ 5的计算过程 输入 第一行有一个正整数T, 表示下面共有T组测试数据。 接下来T行,每行有一组测试数据,是由空格隔开的三个部分组成: A B C A和C是两个十进制整数,B是一个字符串,由n个^组成 1 <= T <= 100, 0<=A
  3. 所属分类:C

    • 发布日期:2010-05-29
    • 文件大小:1024
    • 提供者:classfunction
  1. 在MAX+PLUS II中,使用图形编辑器设计一个3位的十进制加法计数器,使用VHDL语言设计一个D触发器

  2. 1.在图形编辑器中设计一个3位的十进制加法计数器,以xxxcnt3.gdf命名保存(‘xxx’为您的姓名拼音首字母)。器件设定为EPM7128LC84-6。要求能够从0计数到999。从999归零时产生一个高电平的报警信号。进行波形仿真,验证功能正确。分析此电路的最高计数频率。 2.修改这个计数器的归零值,使其计数到119就归零,增加异步清零功能,加法计数/减法计数控制功能。 3.在文本编辑器中使用VHDL语言设计一个D触发器,具有反向输出端。命名为xxxdff.vhd,仿真验证。
  3. 所属分类:嵌入式

    • 发布日期:2010-07-05
    • 文件大小:167936
    • 提供者:bi_qianyu
  1. 十进制加法运算 汇编程序

  2. 用汇编实现十进制加法运算,从键盘输入两个一位十进制数相加并输出结果。
  3. 所属分类:专业指导

    • 发布日期:2011-05-06
    • 文件大小:2048
    • 提供者:dan_tian_zhu
  1. EDA实验报告 异步清除十进制加法计数器的设计

  2. 异步清除是指复位信号有效时,直接将计数器的状态清零。在本设计中,复位信号为clr,低电平有效;时钟信号时clk,上升沿是有效边沿。在clr清除信号无效的的前提下,当clk的上升沿到来时,如果计数器原态是9(“1001”),计数器回到0(“0000”)态,否则计数器的状态将加1。
  3. 所属分类:专业指导

    • 发布日期:2011-05-19
    • 文件大小:104448
    • 提供者:xiancc
  1. vhdl二进制转十进制BCD码加法

  2. 实现8421BCD码加法和二进制与十进制之间的相互转换功能 完成将6位二进制数值转换成2位BCD形式十进制数的功能 完成2位BCD码加法运算
  3. 所属分类:嵌入式

    • 发布日期:2011-06-08
    • 文件大小:786
    • 提供者:sanpangzi1989
  1. 汇编10以内的十进制数加法源码

  2. 接收键盘输入的10以内的十进制自然数,进行加法运算,并将结果输出到屏幕上,源码。。。。。
  3. 所属分类:其它

    • 发布日期:2011-12-14
    • 文件大小:2048
    • 提供者:v450ganjueyixia
  1. 组成实验报告

  2. 里面有两位十进制加法计数器的原理图,和报告文档。
  3. 所属分类:嵌入式

    • 发布日期:2012-03-16
    • 文件大小:162816
    • 提供者:yuefushuwm
  1. VHDL 进制转换与BCD码加法

  2. PartI:将二进制数转换十进制数 PartII: 完成2位BCD码加法运算 附VHDL代码和实验报告,可下载到DE2板上运行
  3. 所属分类:硬件开发

    • 发布日期:2012-04-17
    • 文件大小:580608
    • 提供者:fc213
  1. 数电课设十进制加法

  2. 数字逻辑电路课程设计,完成十进制加法的运算,熟悉数字逻辑电路逻辑结构
  3. 所属分类:专业指导

    • 发布日期:2013-05-11
    • 文件大小:30720
    • 提供者:wang1003060224
  1. 汇编 十进制加法

  2. 就是汇编作业,十进制十位内的加法运算的源代码。
  3. 所属分类:数据库

    • 发布日期:2013-12-11
    • 文件大小:1020
    • 提供者:u013113465
  1. vhdl实验二(异步触发十进制加法计数器)

  2. vhdl实验二(异步触发十进制加法计数器),有源程序,仿真图,eda2000连接图。
  3. 所属分类:嵌入式

    • 发布日期:2008-10-25
    • 文件大小:314368
    • 提供者:zhh0455
  1. 十进制加法和算式显示程序

  2. 在以上十进制数加法要求的基础上,将加法算式及和在显示器上显示。 如ADDOP1中存放1234H,在ADDOP2中存放6789H,执行程序后显示器上显示: 1234+6789=8023
  3. 所属分类:讲义

    • 发布日期:2018-01-06
    • 文件大小:993
    • 提供者:qq_33414953
  1. 多字节十进制加法实验单片机汇编

  2. ; 多字节的十进制加法,加数首地址由R0指出,被加数和结果的存储单元首地址 ; 由R1指出,字节数由R2指出。 ; 设加数存储单元为50H、51H,被加数和结果存储单元在20H、21H。
  3. 所属分类:硬件开发

    • 发布日期:2009-03-21
    • 文件大小:1024
    • 提供者:u010975303
  1. 二十进制加法、乘法表

  2. 二十进制的加法、乘法表,使用xlsx表格的方式保存,方便查看,有需要可以下载
  3. 所属分类:讲义

    • 发布日期:2018-05-27
    • 文件大小:15360
    • 提供者:hlp_0827
  1. 浅谈十进制小数和二进制小数之间的转换

  2. 一、二进制数转换成十进制数 由二进制数转换成十进制数的基本做法是,把二进制数首先写成加权系数展开式,然后按十进制加法规则求和。这种做法称为”按权相加”法。 二、十进制数转换为二进制数 十进制数转换为二进制数时,由于整数和小数的转换方法不同,所以先将十进制数的整数部分和小数部分分别转换后,再加以合并。 1. 十进制整数转换为二进制整数 十进制整数转换为二进制整数采用”除2取余,逆序排列”法。具体做法是:用2去除十进制整数,可以得到一个商和余数;再用2去除商,又会得到一个商和余数,如此进行,直到商为
  3. 所属分类:其它

    • 发布日期:2021-01-01
    • 文件大小:45056
    • 提供者:weixin_38697753
« 12 3 4 5 6 7 8 9 10 »