您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 卷积码编码与译码,适合于初学者学习之用

  2. 卷积编码与译码,通俗易懂,富有详细的注释,适合于初学者学习之用
  3. 所属分类:专业指导

    • 发布日期:2009-06-08
    • 文件大小:2048
    • 提供者:superyangaidong
  1. 212卷积码的编码与译码

  2. 212卷积码的编码与译码,并且具有对编码序列随机出错的功能,以及误码率的计算
  3. 所属分类:专业指导

    • 发布日期:2009-06-17
    • 文件大小:2048
    • 提供者:wholovwho
  1. 卷积码编码和译码实验pdf

  2. 卷积编码及译码按照信息码元与监督码元之间的约束方式不同可以分为分组码和卷积码。在分组码中,编码后的码元序列每n位分为一组,其中k个是信息码元,r个是附加的监督码元,r=n-k。监督码元仅与本码组的信息码元有关,而与其它码组的信息码元无关。卷积码则不然,虽然编码后序列也划分为码组,但监督码元不但与本组信息码元有关,而且与前面码组的信息码元也有约束关系。
  3. 所属分类:专业指导

    • 发布日期:2009-07-02
    • 文件大小:203776
    • 提供者:xuebaihe4
  1. 卷积编解码的Simulink仿真

  2. 卷积编解码的工作原理。用Simulink仿真卷积编码,并用Viterbi译码的方法进行解码,在加性白高斯噪声信道中,画出比特信噪比与误码率的关系曲线
  3. 所属分类:嵌入式

    • 发布日期:2009-11-07
    • 文件大小:95232
    • 提供者:wqinmin
  1. (2,1,5)卷积码编译码器基于FPGA设计与实现

  2. 毕业设计,(2,1,5)的卷积码和基于硬判决的维特比译码,在quartus平台上运行的
  3. 所属分类:硬件开发

    • 发布日期:2011-04-08
    • 文件大小:10485760
    • 提供者:yumygirl
  1. 卷积码编码与译码matlab仿真

  2. 卷积码的编码与译码 使用matlab仿真
  3. 所属分类:其它

    • 发布日期:2011-05-10
    • 文件大小:21504
    • 提供者:duanhanshuo
  1. 卷积码的编码与译码的VB实现

  2. 本程序用VB6.0实现了信息论与编码中的卷积码的212编码与维特比译码与213编码。值得学习,有源码。
  3. 所属分类:VB

    • 发布日期:2011-11-30
    • 文件大小:3072
    • 提供者:yang_zhongyun
  1. 基于FPGA的卷积编码和维特比译码的研究与实现

  2. 本论文是一篇关于如何基于FPGA平台进行卷积码编码和viterbi译码的过程论文,让你能更好完成相关卷积码编码译码的设计仿真等。
  3. 所属分类:专业指导

    • 发布日期:2012-05-28
    • 文件大小:2097152
    • 提供者:fengshenyin1205
  1. 321卷积编码与译码

  2. 321卷积码编码译码 编码译码程序完全是自己书写的
  3. 所属分类:专业指导

    • 发布日期:2012-08-25
    • 文件大小:206848
    • 提供者:hy12270
  1. LTE中卷积码的译码器设计与FPGA实现

  2. 基于长期演进( I TE) 的 Ta i l — b i t i n g卷积 码 , 介 绍 了维特 比译码 算 法, 它是一 种 最优 的卷 积码 译码 算 法。 由于 Ta i l — b i t i n g卷积码 的循环特性 , 采 用固定延迟译码 的方法 , 降低 了译码 复杂度。通过使用全并行 的结构及 简单的 回溯存储 方 法, 设计了一个具有高速和低复杂度的固定延迟译码器。在 F P GA上实现并验证, 验证结果表明译码器的性能满足了 I TE 系统 的要 求
  3. 所属分类:硬件开发

    • 发布日期:2013-05-12
    • 文件大小:371712
    • 提供者:u010671359
  1. LabVIEW 卷积编码解码(2,1,3)

  2. 用LabVIEW编写的关于卷积编码与解码的小程序,可能有不足,请大神指点
  3. 所属分类:其它

    • 发布日期:2014-08-20
    • 文件大小:196608
    • 提供者:hithouyandong
  1. 卷积编码译码Matlab仿真程序(保证可以运行)

  2. 编码: function output=cnv_encd(G,k0,input) % cnv_encd(G,k0,input),k0是每一时钟周期输入编码器的bit数, % G是决定输入序列的生成矩阵,它有n0行,L*k0列。n0是输出bit数, % 参数n0和L由生成矩阵G导出,L是约束长度。L之所以叫约束长度 % 是因为编码器在每一时刻里输出序列不但与当前输入序列有关, % 而且还与编码器的状态有关,这个状态是由编码器的前(L-1)k0。 % 个输入决定的,通常卷积码表示为(n0,k0,m
  3. 所属分类:IT管理

    • 发布日期:2015-01-19
    • 文件大小:4096
    • 提供者:yqq1104
  1. (7,1/2)卷积编码及其维特比译码算法的软件实现

  2. 详细介绍与分析了符合CSSDS编码标准的(7,1/2)卷积编码及其维特比译码算法的软件实现
  3. 所属分类:电信

    • 发布日期:2015-04-14
    • 文件大小:90112
    • 提供者:u012484987
  1. 卷积码编码与译码

  2. 卷积码和分组码的根本区别在于,它不是把信息序列分组后再进行单独编码,而是由连续输入的信息序列得到连续输出的已 编码序列。即进行分组编码时,其本组中的n-k个校验元仅与本组的k个信息元有关,而与其它各组信息无关;但在卷积码中,其编码器将k个信息码元编为n个码元时, 这n个码元不仅与当前段的k个信息有关,而且与前面的(m-1)段信息有关(m为编码的约束长度)。
  3. 所属分类:其它

    • 发布日期:2016-01-15
    • 文件大小:3072
    • 提供者:qq_33570399
  1. pcm编码与译码程序代码

  2. 刚刚遍写出来的,pcm编码与译码过程,我这里还有(2,1,3)卷积码编译码要的朋友给我留言哦。好东西一起分享
  3. 所属分类:专业指导

    • 发布日期:2008-12-15
    • 文件大小:236544
    • 提供者:vicky1207kk
  1. (2,1,3)卷积编码与维特比译码C程序(含硬判决软判决)

  2. 自己写的卷积码编译码程序,已经测试通过程序无bug,欢迎大家下载参考
  3. 所属分类:C

    • 发布日期:2018-10-07
    • 文件大小:39936
    • 提供者:unio__
  1. 卷积编码与维特比译码实验报告及代码

  2. 信息论课程的一套代码,附有详细的每一部分的代码说明文档。卷积编码采用自己写的代码,完成了217和319码。在MATLAB2016b环境下编译通过
  3. 所属分类:C/C++

    • 发布日期:2019-02-27
    • 文件大小:1048576
    • 提供者:butterfly_hd
  1. DSP中的一种卷积编译码盘的解决方案

  2. 对于一般的线性分组码(如循环码、BCH码等),它们的共同特点是:一个码字的监督单元仅与本码组的k位信息码元有关,与其它码字的码元无关。而卷积码的特点在于本组的码元不仅与当有输入的k个信息有关,而且还与前面m个时刻输入的信息有关。卷积码的纠错能力随着m的增加而增大,而差错率随着m的增加而指数下降。在编码效率与设备复杂性相同的前提下,卷积码的性能优于分组码。随着大规模集成电路技术的发展,采用维特比译码的卷积编码技术已成了广泛采用的纠错方案。在本系统中,输入卷积编码器的信息序列是32Kbit/s的比特
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:184320
    • 提供者:weixin_38701952
  1. 通信与网络中的卷积编码及Viterbi 解码的FPGA 实现及应用

  2. 摘要:卷积码在现代无线通信系统中应用十分广泛,Viterbi译码是最常用的一种对卷积码的译码算法。介绍了卷积编码及Viterbi串行解码的原理及其FPGA的实现。在保证系统性能的前提下讨论了分帧式编解码在实际系统中的应用。   0 引言   在现代通信系统中,信道编码技术得到了广泛的应用。卷积码结构简单,硬件实现容易,同时有着较好的查错纠错能力,因此在无线通信中经常使用,而其解码方式常用Viterbi译码。   1 卷积编码   卷积码(Convolutional Coding)是由Pg
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:280576
    • 提供者:weixin_38717980
  1. matlab实现卷积编码与viterbi译码

  2. 1.仿真代码 clear all EbN0 = 0:2:10; %SNR范围 N = 1000000; %消息比特个数 M = 2; %BPSK调制 L = 7; %约束长度 tre1 = poly2trellis(L,[171 133]); %卷积码的生成多项式 tblen = 6*L; %Viterbi译码器回溯深度 msg = randi([0,1],1,N); %消息比特序列 msg1 = convenc(msg,tre1); %卷积编码 x1 = pskmod(msg1,M); %B
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:44032
    • 提供者:weixin_38637272
« 12 3 4 »