您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. vsdl模231可逆计数器,grfic

  2. vhdl中用到得芯片做计数器 各种芯片用法的教程可以让你理解计数器的一些概念和如何的运用!
  3. 所属分类:嵌入式

    • 发布日期:2009-06-08
    • 文件大小:199680
    • 提供者:mm_nightmare
  1. 8位可逆计数器 vhdl

  2. 8位可逆计数器 vhdl语言 源码 8位可逆计数器 vhdl语言 源码 8位可逆计数器 vhdl语言 源码
  3. 所属分类:其它

    • 发布日期:2009-09-13
    • 文件大小:1024
    • 提供者:sunzhigan1
  1. EDA实验 作业 课程设计,用原理图输入法设计一位全加器,计数器(74160)和译码器(7448),顶层用原理图设计,用混合输入及层次化设计,VHDL语言的组合电路设计,0--9可逆计数器输出的是8421BCD码,交通灯,数字钟

  2. 用原理图输入法设计一位全加器,计数器(74160)和译码器(7448),顶层用原理图设计,用混合输入及层次化设计,VHDL语言的组合电路设计,0--9可逆计数器输出的是8421BCD码,交通灯,数字钟的VHDL语言设计
  3. 所属分类:交通

    • 发布日期:2010-11-11
    • 文件大小:2097152
    • 提供者:ssolriu
  1. FPGA16位数字滤波的可逆计数器

  2. 基于FPGA的针对带编码器A、B相的直流电机,进行检测正反转,实现可逆计数,从而达到对电机的速度和位置的精确控制
  3. 所属分类:硬件开发

    • 发布日期:2011-04-26
    • 文件大小:1048576
    • 提供者:wuyi_daoren
  1. 同步时钟同步清零的六十进制可逆计数器

  2. 同步时钟同步清零的六十进制可逆计数器 VHDL 可编程器件
  3. 所属分类:专业指导

    • 发布日期:2011-12-05
    • 文件大小:1024
    • 提供者:abc20090512127
  1. EDA六位十六进制可逆计数器和七段译码器

  2. 用MaxPlusII实现的六位可逆十六进制可逆计数器和七段译码器,在altera的芯片上亲测可用,用MaxPlusII打开顶层设计图以后,直接下载到芯片上就可以运行了。
  3. 所属分类:硬件开发

    • 发布日期:2011-12-10
    • 文件大小:394240
    • 提供者:woshidashabiab
  1. 可逆计数器

  2. 用vhal实现的可逆计数器 可复位 编译通过
  3. 所属分类:其它

    • 发布日期:2012-06-04
    • 文件大小:417792
    • 提供者:pulala19880912
  1. 同步可逆计数器和序列检测器,数字电路实验,华中科技大学

  2. 同步可逆计数器和序列检测器,数字电路实验,华中科技大学
  3. 所属分类:专业指导

    • 发布日期:2014-03-08
    • 文件大小:208896
    • 提供者:wangchenmin_
  1. 模4可逆计数器

  2. 这是模4可逆计数器的电路连接,刚学的,简简单单。
  3. 所属分类:电子政务

    • 发布日期:2014-04-28
    • 文件大小:91136
    • 提供者:lichenglihaiyan
  1. 两位16进制加减可逆计数器工程包

  2. 时序逻辑电路实验:两位16进制加减可逆计数器工程包 包含VHDL源码、引脚配置等所有工程文件,完美测试
  3. 所属分类:硬件开发

    • 发布日期:2014-05-21
    • 文件大小:132096
    • 提供者:cooelf
  1. 基于C51单片机的红外可逆计数器(lcd显示屏)

  2. 对射式红外计数器,可实现对车位数等的计数,本实验中采用LCD1602液晶显示,采用查询方式进行计数。
  3. 所属分类:C/C++

    • 发布日期:2016-07-01
    • 文件大小:4096
    • 提供者:qq_27105089
  1. 1. 二进制可逆计数器CD4516

  2. 1. 二进制可逆计数器CD4516 CD4516是异步可预置四位计数器,
  3. 所属分类:C/C++

    • 发布日期:2009-04-07
    • 文件大小:59392
    • 提供者:yangkuanyangyou
  1. Verilog实现可逆计数器(FPGA)程序

  2. Verilog实现可逆计数器,可根据需要调节周期,且该程序已在Basys2开发板上验证成功。
  3. 所属分类:硬件开发

    • 发布日期:2018-04-25
    • 文件大小:169984
    • 提供者:weixin_39603637
  1. 可逆计数器(内含文档及Verilog HDL设计代码)

  2. 可逆计数器是一种双向计数器,可以进行递增计数,也可以进行递减计数,根据计数控制信号的不同,在时钟脉冲的作用下,计数器可以进行加1或减1的操作。 下面描述的是一个位宽为4的可逆计数器,即该计数器在不同控制信号下可以分别实现加法计数和减法计数的功能。
  3. 所属分类:硬件开发

    • 发布日期:2018-05-19
    • 文件大小:107520
    • 提供者:reborn_lee
  1. 模16加减可逆计数器(有限状态机版本).docx

  2. 老师布置的作业,通过一段时间的学习,自己写的模16加减可逆计数器,看到很多人是直接写的,这里给一个用有限状态机写的,希望对大家有所帮助。
  3. 所属分类:其它

    • 发布日期:2020-05-19
    • 文件大小:133120
    • 提供者:qq_44789078
  1. 混合层次化文件设计-十进制可逆计数器.docx

  2. 利用verilog实现十进制可逆计数器设计,该设计通过混合层次化文件设计形式,内附程序代码,可直接运行
  3. 所属分类:其它

    • 发布日期:2020-08-11
    • 文件大小:15360
    • 提供者:jojokuma
  1. EDA/PLD中的EDA典型单元电路的可逆计数器

  2. 所谓可逆计数器,就是根据计数控制信号的不同,在时钟脉冲作用下,计数器可以进行加1或者减1操作的一种计数器。可逆计数器有一个特殊的控制端,这就是DR端。\u5f53DIR='0'时,计数器进行加1操作,\u5f53DIR='1'时,计数器就进行减1操作。   【例】 用VHDL设计一个八进制可逆计数器,并使用MAX+p1us Ⅱ进行仿真。   仿真结果如图所示。   如图  八进制可逆计数器BCNT8的仿真波形    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:187392
    • 提供者:weixin_38739919
  1. 量子可逆计数器的新颖设计

  2. 量子可逆计数器的新颖设计
  3. 所属分类:其它

    • 发布日期:2021-03-08
    • 文件大小:2097152
    • 提供者:weixin_38500948
  1. 基于CNFET的三重脉冲可逆计数器的设计。

  2. 基于CNFET的三重脉冲可逆计数器的设计。
  3. 所属分类:其它

    • 发布日期:2021-03-06
    • 文件大小:349184
    • 提供者:weixin_38737366
  1. Verilog模16可逆计数器

  2. Verilog模16可逆计数器
  3. 所属分类:嵌入式

    • 发布日期:2021-03-03
    • 文件大小:1024
    • 提供者:m0_51261356
« 12 3 4 5 6 7 »