您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 触发器与时序逻辑电路

  2. 本章首先介绍触发器和同步时序电路的分析,然后介绍寄存器、计数器等常用集成时序电路,最后对异步时序电路的分析也给予了简单介绍。
  3. 所属分类:专业指导

    • 发布日期:2010-09-21
    • 文件大小:587776
    • 提供者:superuser007
  1. 数字逻辑课程设计报告

  2. 大一时做了一个数字逻辑电路的课程设计,要求设计一个同步时序电路,要求实现下面输出结果: 当它的输入端X=1,其输出序列为 00—11—10—01,并在达到01或00之后颠倒输出序列;当X=0时,电路返回初始状态00,之后不再变化,输出亦为00。 利用我们实验的破电路板最后也模拟出来啦,现在拿出来跟大家分享一下。 这是一个做完实验后写的报告,电路图及操作步骤全在里面,希望对你有用。
  3. 所属分类:专业指导

    • 发布日期:2010-11-05
    • 文件大小:947200
    • 提供者:kuliu666666
  1. 同步时序逻辑电路设计经典实例

  2. 同步时序逻辑电路设计经典实例,经典中的经典,个人收藏整理
  3. 所属分类:PHP

    • 发布日期:2011-11-05
    • 文件大小:2097152
    • 提供者:rongq2007
  1. 时序电路设计:2421码同步计数器.ms10

  2. 时序电路设计:2421码同步计数器.ms10
  3. 所属分类:C

    • 发布日期:2012-05-17
    • 文件大小:290816
    • 提供者:w478543890
  1. 用“一对一”法设计同步时序电路报告

  2. 根据时序电路中诸触发器状态转换的同时性可分为同步和异步两类。在同步时序电略中全部触发器均用一个外部时钟CP触发,因此它们的状态转换由该时钟进行“同步”。我们根据课题要求,设计出了由一片74LS175_D触发器、一片74LS00双输入与非门、两片74LS10三输入与非门、一片74LS04单输入非门,外加清零信号CLK、外部时钟信号CP构成的“一对一”时序电路。在一个外部时钟CP触发下,各状态输入状态改变,实现要求的功能。
  3. 所属分类:电信

    • 发布日期:2014-05-15
    • 文件大小:216064
    • 提供者:u013610995
  1. 用“一对一”法设计同步时序电路MULTISM实现

  2. 根据时序电路中诸触发器状态转换的同时性可分为同步和异步两类。在同步时序电略中全部触发器均用一个外部时钟CP触发,因此它们的状态转换由该时钟进行“同步”。我们根据课题要求,设计出了由一片74LS175_D触发器、一片74LS00双输入与非门、两片74LS10三输入与非门、一片74LS04单输入非门,外加清零信号CLK、外部时钟信号CP构成的“一对一”时序电路。在一个外部时钟CP触发下,各状态输入状态改变,实现要求的功能。
  3. 所属分类:教育

    • 发布日期:2014-05-15
    • 文件大小:197632
    • 提供者:u013610995
  1. 同步时序逻辑电路

  2. 数字电路课程小班课PPT
  3. 所属分类:讲义

    • 发布日期:2016-10-06
    • 文件大小:917504
    • 提供者:u010385790
  1. 同步时序逻辑电路

  2. 同步时序逻辑电路同步时序逻辑电路同步时序逻辑电路同步时序逻辑电路
  3. 所属分类:数据库

    • 发布日期:2015-06-06
    • 文件大小:3145728
    • 提供者:qq_27956793
  1. 大学数字逻辑老师讲课课件同步时序电路.ppt

  2. 大学数字逻辑老师讲课课件同步时序电路.ppt
  3. 所属分类:专业指导

    • 发布日期:2010-12-11
    • 文件大小:1048576
    • 提供者:xiaochun2012
  1. 奇偶校验电路.doc

  2. 用同步时序电路对串行二进制输入进行奇偶校验,每检测5位输入,输出一个结果。当5位输入中1的数目为奇数时,在最后一位的时刻输出1
  3. 所属分类:其它

    • 发布日期:2020-06-18
    • 文件大小:134144
    • 提供者:gyhboo
  1. 数字电路同步时序逻辑电路

  2. 关于时序逻辑电路的知识,不错的,讲的还是比较好咯
  3. 所属分类:专业指导

    • 发布日期:2010-06-29
    • 文件大小:1048576
    • 提供者:lgiqz
  1. 详解FPGA的时序以及时序收敛

  2. 1.FPGA时序的基本概念FPGA器件的需求取决于系统和上下游(upstream and downstrem)设备。我们的设计需要和其他的devices进行数据的交互,其他的devices可能是FPGA外部的芯片,可能是FPGA内部的硬核。 对于FPGA design来说,必须要关注在指定要求下,它能否正常工作。这个正常工作包括同步时序电路的工作频率,以及输入输出设备的时序要求。在FPGA design内部,都是同步时序电路,各处的延时等都能够估计出来,但是FPGA内部并不知道外部的设备的
  3. 所属分类:其它

    • 发布日期:2020-07-13
    • 文件大小:171008
    • 提供者:weixin_38715567
  1. 电源技术中的基于门控时钟的低功耗时序电路设计

  2. 在传统设计中,所有计算机运算(算法、逻辑和存储进程)都参考时钟同步执行,时钟增加了设计中的时序电路数量。在这个电池供电设备大行其道的移动时代,为了节省每一毫瓦(mW)的功耗,厂商间展开了残酷的竞争,因此将电路分成多个电源域并根据要求关闭它们,并且在设计每个时序电路的同时节省功耗,这两点至关重要。时序电路(如计数器和寄存器)在现代设计中无处不在。本文以约翰逊计数器为例介绍了如何采用有效门控时钟来设计高能效的时序电路。   约翰逊计数器系统,可同步提供多种特殊类型的数据序列,这对于大多数重要应用(
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:300032
    • 提供者:weixin_38672940
  1. 电源技术中的倍流同步整流电路

  2. 在大电流输出的情况下,制造中点抽头变压器工艺复杂造价高。而采用图1所示的倍流(Current Doubler)同步整流电路,可以不用中点抽头变压器,并且采用两个滤波电感,制造容易造价低。   图1 控制信号的时序   图2 倍流同步整流电路   倍流整流电路,可以用于半桥式或全桥式等转换器,它的工作原理是:当变压器同名端为正时, SR1导通,SR2关断,电感L1通过变压器和SR1储能,并向负载提供电能,电感L2通过SR2向负载释放电能;反之,当变压器的同名端为负时,SR2导通,SR
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:83968
    • 提供者:weixin_38625048
  1. 基础电子中的SR的控制时序与同步整流电路

  2. 同步整流管SR当它工作在同步开关方式时,其驱动信号必须与DC/DC PWM转换器主开关管的通/断协调,以满足一定的时序要求。下面介绍各种PWM开关整流器中应用同步整流管时的控制时序。用USR和UV1分别代表同步整流管SR和主开关管V1的控制信号逻辑电平,并忽略控制信号之间的死区。用“-”表示“非”逻辑。   欢迎转载,信息来自维库电子市场网(www.dzsc.com)  来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:25600
    • 提供者:weixin_38689055
  1. 通信与网络中的同步和异步的区别-电平异步时序逻辑电路

  2. 脉冲异步时序电路和同步时序电路有两个共同的特点:   ● 电路状态的转换是在脉冲作用下实现的。   在同步时序电路中尽管输入信号可以是电平信号或者脉冲信号,但电路的状态转换受统一的时钟脉冲控制;脉冲异步时序电路中没有统一的时钟脉冲,因此,规定输入信号为脉冲信号,即控制电路状态转换的脉冲由电路状态输入端直接提供。   ● 电路对过去输入信号的记忆是由触发器实现的。   在同步时序电路中采用带时钟控制端的触发器;而在脉冲异步时序电路中既可用带时钟控制端的触发器,也可用非时钟控制触发器。  
  3. 所属分类:其它

    • 发布日期:2020-11-15
    • 文件大小:41984
    • 提供者:weixin_38653443
  1. 基础电子中的同步复位与异步复位-异步复位和同步复位区别-异步复位同步释放

  2. 一、同步复位与异步复位特点:   同步复位就是指复位信号只有在时钟上升沿到来时,才能有效。否则,无法完成对系统的复位工作。   异步复位是指无论时钟沿是否到来,只要复位信号有效,就对系统进行复位。     二、异步复位和同步复位的优缺点:   1、同步复位的优点大概有3条:   a、有利于仿真器的仿真。   b、可以使所设计的系统成为100%的同步时序电路,这便大大有利于时序分析,而且综合出来的fmax一般较高。   c、因为他只有在时钟有效电平到来时才有效,所以可以滤除高于时钟频率的
  3. 所属分类:其它

    • 发布日期:2020-11-15
    • 文件大小:46080
    • 提供者:weixin_38704565
  1. PCB技术中的时序电路的分析与设计

  2. 逻辑电路分为组合逻辑电路和时序逻辑电路。第四章已经学习了组合逻辑电路的分析与设计的方法,这一章我们来学习时序电路的分析与设计的方法。在学习时序逻辑电路时应注意的重点是常用时序部件的分析与设计 这一章的内容共分为两节,它们是:§6、1:同步时序电路的分析方法§6、2:同步时序电路的设计 6、1同步时序电路的分析方法 时序电路分析的目的就是对已知的时序逻辑电路,要得到它的电路特性说明即该电路逻辑功能,若电路存在问题,并提出改进方法。
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:52224
    • 提供者:weixin_38665162
  1. 同步时序电路化简

  2. 听说你还在满世界找同步时序电路化简?在这里,为大家整理收录了最全、最好的同步时序电路化简...该文档为同步时序电路化简,是一份很不错的参考资料,具有较高参考价值,感兴趣的可以下载看看
  3. 所属分类:其它

    • 发布日期:2020-12-29
    • 文件大小:359424
    • 提供者:weixin_38730331
  1. 数字电路 (2)

  2.  第六章触发器(Flip-Flops)一、时序电路概述二、R-S和D触发器三、T和JK触发器四、触发器相互转换 一、时序电路概述同步时序电路的状态只在统一的信号脉冲控制下才同时变化一次,如果信号脉冲没有到来,即使输入信号发生变化,电路的状态仍不改变。异步时序电路的状态变化不是同时发生的,它没有统一的信号脉冲(时钟脉冲用CP表示),输入信号的变化就能引起状态的变化。二:时序电路的表示形式时序电路按输入变量的依从关系可分为米里型和莫尔型。米里型电路的输出是输入变量的现态函数;莫尔型电路的输出仅与电路
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:113664
    • 提供者:weixin_38699784
« 12 3 4 5 6 7 8 9 10 ... 17 »