您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的交通灯设计

  2. 在QUARTUS中模拟仿真实现交通灯的功能,再下载到ALTER开发板中,模拟实现交通控制功能
  3. 所属分类:交通

    • 发布日期:2009-06-29
    • 文件大小:541696
    • 提供者:yuanweinanjing
  1. 基于FPGA的交通信号控制器的设计

  2. 这是关于基于FPGA的交通信号控制器的设计的一篇论文 有兴趣的同学可以下载
  3. 所属分类:交通

    • 发布日期:2011-01-14
    • 文件大小:433152
    • 提供者:blueskyrrg123
  1. 基于FPGA的交通灯设计

  2. verilog 交通灯源代码,含交通灯得设计规范
  3. 所属分类:硬件开发

    • 发布日期:2011-05-07
    • 文件大小:15360
    • 提供者:jiariy
  1. 基于FPGA 的交通灯控制器的设计

  2. 这是一个基于FPGA的交通灯控制器的设计,程序整体的思路很清晰,便于学习
  3. 所属分类:硬件开发

    • 发布日期:2011-05-24
    • 文件大小:141312
    • 提供者:zhaoziyunzai
  1. 交通灯毕业设计

  2. 基于fpga的交通灯设计,毕业设计,有程序
  3. 所属分类:专业指导

    • 发布日期:2011-11-15
    • 文件大小:447488
    • 提供者:shengtou12
  1. 《现代数字系统设计》课程论文

  2. 《现代数字系统设计》课程论文 基于FPGA的交通灯控制器设计 含代码
  3. 所属分类:硬件开发

    • 发布日期:2012-03-19
    • 文件大小:300032
    • 提供者:lxq151
  1. 基于FPGA的交通灯设计(英文)

  2. 基于FPGA的交通灯设计(英文),可作为相关专业外文文献!
  3. 所属分类:数据库

    • 发布日期:2012-04-21
    • 文件大小:470016
    • 提供者:zero_li393
  1. 基于FPGA的交通灯设计

  2. 基于FPGA的交通灯设计内容比较简单但是有了最基本的交通灯的功能所有代码步骤都有
  3. 所属分类:软件测试

    • 发布日期:2012-11-22
    • 文件大小:254976
    • 提供者:ae954677518
  1. 基于fpga的交通灯设计

  2. fpga 经典交通灯程序设计~~详细介绍各模块功能的实现
  3. 所属分类:VB

    • 发布日期:2012-11-27
    • 文件大小:254976
    • 提供者:shaunchenyh
  1. 交通灯fpga设计~

  2. 基于fpga的交通灯设计,所用软件为altium designer6.0~
  3. 所属分类:硬件开发

    • 发布日期:2013-03-13
    • 文件大小:1048576
    • 提供者:lili1027038
  1. 基于FPGA的交通灯控制器设计

  2. 本实验设计一个十字路口的交通灯控制器,分为东西和南北两个部分。每个部分有五盏灯,分别为左转灯、直行灯、右转灯、人行道灯及黄灯,另外还有一个倒计时器。左转灯、直行灯、右转灯、人行道灯亮表示允许通行,灯灭表示禁止通行;黄灯亮表示即将有信号灯的状态发生改变;倒计时显示了到下一状态的时间。 2.状态表(0表示灯灭,1表示灯亮) 时间度量 东西方向  南北方向 东西方向 南北方向 t/s ← ↑ → 行人 黄 ← ↑ → 行人 黄 倒计时/s 倒计时/s 0~13 0 1 1 0 0 0 0 0 0 0
  3. 所属分类:其它

    • 发布日期:2013-07-02
    • 文件大小:559104
    • 提供者:minorduan_1232
  1. 嵌入式 基于FPGA的交通灯控制设计

  2. 嵌入式 基于FPGA的交通灯控制设计   在本方案中,设东西方向和南北方向的车流量大致相同,因此红、绿、黄灯的时长也相同,显示顺序为:方向一为黄灯、红灯、绿灯,方向二为绿灯、黄灯,红灯。‘0’表示灯亮,‘1’表示灯熄。其中绿灯,黄灯,红灯的持续时间分别为20秒,5秒,20秒。
  3. 所属分类:嵌入式

    • 发布日期:2014-04-03
    • 文件大小:6291456
    • 提供者:mei_xiao_yi
  1. 交通灯设计VHDL

  2. 基于FPGA的交通灯设计 使用VHDL语言编写 基于FPGA的交通灯设计 使用VHDL语言编写基于FPGA的交通灯设计 使用VHDL语言编写基于FPGA的交通灯设计 使用VHDL语言编写
  3. 所属分类:嵌入式

    • 发布日期:2015-01-16
    • 文件大小:6291456
    • 提供者:wo_cao_ni_zui
  1. 基于FPGA的交通灯的电路图

  2. 基于FPGA进行小型数字系统设计,利用集成化的思想和设计方法,利用动态扫描显示,进行设计的交通灯的电路图设计。有三个灯变换,每个灯又有一定的时间控制,时间到后可以自动转到下一个状态
  3. 所属分类:专业指导

    • 发布日期:2018-01-12
    • 文件大小:4194304
    • 提供者:un357951
  1. 基于FPGA的交通灯课程设计资料.zip

  2. 基于FPGA的交通灯课程设计资料,包括程序源码及论文资料,以FPGA为核心,设计一个交通灯控制器,每个路口都有红、黄、绿三盏灯。该交通信号灯控制器由一条车道A和一条车道B汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。
  3. 所属分类:其它

    • 发布日期:2020-04-12
    • 文件大小:6291456
    • 提供者:weixin_40445526
  1. 基于FPGA和Verilog_HDL的交通灯设计.pdf

  2. 这是芯随心动教程中基于FPGA和Verilog_HDL的交通灯设计文档,内含完整设计流程及相关代码,供有需要的小伙伴学习。
  3. 所属分类:讲义

    • 发布日期:2020-03-13
    • 文件大小:64512
    • 提供者:TTABCDTT
  1. 基于FPGA的交通灯实验报告.docx

  2. 基于FPGA的交通灯的设计实验,采用VHDL编写程序,并在QUARTUS II工具平台仿真,下载到实验箱进行验证。本次设计较复杂,如果不采用状态机的方式实现起来会非常繁琐,所以在功能中采用状态机的方式实现。
  3. 所属分类:交通

    • 发布日期:2020-05-24
    • 文件大小:694272
    • 提供者:w17851006125
  1. 课程设计基于FPGA的交通灯源代码 tp.rar

  2. 课程设计 基于FPGA的交通灯源代码 用VHDL编写的程序,可实现的功能有:南北方向是主干道车到,东西方向是支干道车道,要求两条交叉道路上的车辆 交替运行,主干道每次通行时间为 30 秒,支干道每次通行时间为 20 秒,时间可设 置修改。在绿灯转为红灯时,要求黄灯先亮 5 秒钟,才能变换运行车道。还具有复位、紧急等功能。
  3. 所属分类:其它

    • 发布日期:2020-08-19
    • 文件大小:1048576
    • 提供者:weixin_44355077
  1. 基于FPGA的交通灯——香樟路

  2. 在普通交通灯基础上增加了更多的状态以便于结合到实际复杂的交通路况,设计主要内容为交通灯控制模块将需要显示的时间 数据连接到数码管显示模块,同时将状态信号连接到led灯控制模块,然后数码管显示模块和 led灯控制模块驱动交通信号灯外设工作。
  3. 所属分类:其它

    • 发布日期:2020-12-14
    • 文件大小:5242880
    • 提供者:marries
  1. 基于FPGA的交通灯设计

  2. 采用正点原子FPGA开发板,用Verilog-HDL语言编写程序实现排球比赛计分功能: (1) 用按键S1模拟A队比分+键、S2模拟A队比分—键;用按键S3模拟B队比分+键、S4模拟B队比分—键。 (2) 用右边2位数码管显示A队得分,用左边2位数码管显示B队得分,中间两位数码管不显示。 (3) 当某队得分≥21且两队得分相差2分时,该局比赛结束,比分不能再增加,中间两位数码管显示“——”,这时按复位键可将两队比分清零。
  3. 所属分类:VB

    • 发布日期:2020-12-21
    • 文件大小:3145728
    • 提供者:weixin_44566652
« 12 3 »