您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的模拟信号源设计(中英文翻译)

  2. 为频率捷变应用选择信号发生器 根据信号发生器的主要用途或公司的预算,设计师通常会采用传统的信号发生器设计,如YIG调谐或带状合成器。然而,最近出现的基于合成仪器(Synthetic-instrument,SI)架构的信号发生器有望改变这种选择。 当测试频率捷变无线电时,找到能够跟随所期望的跳频图案的信号发生器(跳变速率和延迟时间都很快的仪器)使用户受到了限制。如果测试系统只要求产生简单的频率调制,或仅作为快速跳变的本地振荡器,那么选择快速调谐信号发生器就行了。不过,这种信号发生器是无法产生最近
  3. 所属分类:硬件开发

    • 发布日期:2010-03-08
    • 文件大小:144384
    • 提供者:zhujiqq
  1. 基于FPGA的视频信号发生器设计与应用研究

  2. 基于FPGA的视频信号发生器设计与应用研究
  3. 所属分类:硬件开发

    • 发布日期:2010-04-12
    • 文件大小:291840
    • 提供者:a2668240714
  1. 基于FPGA的信号发生器设计

  2. 基于FPGA的信号发生器设计 基于FPGA的信号发生器设计 基于FPGA的信号发生器设计
  3. 所属分类:硬件开发

    • 发布日期:2010-07-11
    • 文件大小:179200
    • 提供者:tiandi45453
  1. 基于FPGA的DDS信号发生器的设计开题报告

  2. 基于FPGA的DDS信号发生器的设计开题报告
  3. 所属分类:硬件开发

    • 发布日期:2010-11-26
    • 文件大小:83968
    • 提供者:crule19870104
  1. 基于 FPGA 的直接数字频率合成信号发生器(DDS)设计

  2. 基于 FPGA 的直接数字频率合成信号发生器(DDS)设计
  3. 所属分类:硬件开发

    • 发布日期:2010-11-26
    • 文件大小:208896
    • 提供者:crule19870104
  1. 一种基于FPGA的正弦波信号发生器的设计

  2. 一种基于FPGA的正弦波信号发生器的设计
  3. 所属分类:硬件开发

    • 发布日期:2011-04-09
    • 文件大小:214016
    • 提供者:abab8780000
  1. 基于FPGA的DDS信号发生器

  2. 【摘要】介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成(DDS)的原理,以及以DDS为核心的信号发生器。重点介绍了DDS技术在FPGA中的实现方法,给出了采用ALTERA公司的FLEX系列FPGA芯片FLEX10K进行直接数字频率合成的VHDL源程序。 【关键词】 直接数字频率合成(DDS); 现场可编程逻辑器件(FPGA);硬件描述语言(VHDL); 【前言】随着数字技术在仪表和通信系统中的广泛应用,一种从参考频率源生成多种频率的数字控制方法应运而生,这种技术被称为直接数字合成(
  3. 所属分类:其它

    • 发布日期:2011-07-21
    • 文件大小:123904
    • 提供者:luck_dragon
  1. 基于VerilogHDL的信号发生器的设计

  2. 基于VerilogHDL的信号发生器的设计
  3. 所属分类:其它

    • 发布日期:2012-03-26
    • 文件大小:896000
    • 提供者:shulizhu123
  1. 基于FPGA函数信号发生器的设计与实现

  2. 学习如何基于FPGA函数信号发生器的设计与实现
  3. 所属分类:硬件开发

    • 发布日期:2013-12-04
    • 文件大小:6291456
    • 提供者:lxj_0088
  1. 基于DDS的信号发生器设计代码

  2. verilog HDL编写的基于FPGA的信号发生器设计
  3. 所属分类:嵌入式

    • 发布日期:2014-05-10
    • 文件大小:672768
    • 提供者:saichen125
  1. 基于FPGA的数字信号发生器设计

  2. 基于FPGA的信号发生器 能实现 三角波 正弦波 方波 锯齿波
  3. 所属分类:电信

    • 发布日期:2015-04-28
    • 文件大小:462848
    • 提供者:qq_25024517
  1. 基于D/A参考电压调幅的信号发生器设计与实现

  2. 信号发生器以FPGA为核心器件,采用直接数字频率合成(DDS)技术,其信号幅度由D/A芯片THS5661控制,通过控制D/A芯片的参考电压来控制信号幅度的输出。该方案可实现多种信号波形的幅值调节,调节范围为0~±5 V,分辨率为0.1 V,并且可以实现信号频率和相位的调节。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:76800
    • 提供者:weixin_38670983
  1. 基于FPGA和DDS的信号源设计

  2. 随着高速可编程逻辑器件FPGA的发展,电子工程师可根据实际需求,在单一FPGA上开发出性能优良的具有任意波形的DDS系统,极大限度地简化设计过程并提高效率。本文在讨论DDS的基础上,介绍利用FPGA设计的基于DDS的信号发生器。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:434176
    • 提供者:weixin_38720978
  1. 基于FPGA的信号发生器设计

  2. 以FPGA 芯片为载体, 通过QuartusII 的LPM_ROM 模块和VHDL 语言为核心设计一个多功能信号发生器,根据输入信号的选择可以输出递增锯齿波、递减锯齿波、三角波、阶梯波和方波等5 种信号,通过QuartusII 软件进行波形仿真、定时分析,仿真正确后,利用实验板提供的资源,下载到芯片中实现预定功能。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:498688
    • 提供者:weixin_38728624
  1. 数据转换/信号处理中的基于AD9851的信号发生器设计方案

  2. 导读:本方案通过AD9851,完成了基于高精度DDS芯片AD9851的正弦波信号发生器的设计与验证。   1 前言   直接数字频率合成DDS(Direct Digital Syndaesis)是实现数字化的一项关键技术,广泛应用于电信与电子仪器领域DDS通常是在CPLD或FPGA内设置逻辑电路实现的,但由于DDS输出受到D/A转换器的速率及D/A转换后I/V转换中运放的带宽增益和响应时间的限制,CPLD和FPGA内部实现方案在高频段信号幅值已不稳定。   2 AD9851简介   AD
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:307200
    • 提供者:weixin_38735570
  1. 基于D/A参考电压调幅的信号发生器设计与实现

  2. 信号发生器以FPGA为核心器件,采用直接数字频率合成(DDS)技术,其信号幅度由D/A芯片THS5661控制,通过控制D/A芯片的参考电压来控制信号幅度的输出。该方案可实现多种信号波形的幅值调节,调节范围为0~±5 V,分辨率为0.1 V,并且可以实现信号频率和相位的调节。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:316416
    • 提供者:weixin_38530846
  1. 基于FPGA+PWM的多路信号发生器设计

  2. 基于运放的信号发生器精度低且稳定性和可调节性差,而基于DDS的信号发生器则成本高、电路复杂。为此提出了基于FPGA+PWM的多路信号发生器设计方法。该方法硬件上无需DAC与多路模拟开关,由FPGA产生调制输出波形信号所需的PWM脉冲波,经二阶低通滤波和放大电路后即可得到所需波形信号。实验证明,该多路信号发生器幅值分辨率高,频率精度高,且具有良好的直流性能,各通道可独立产生三角波、锯齿波、正弦波、方波且输出稳定。且其成本低,设计灵活,可扩展性强,可应用于各种场合。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:377856
    • 提供者:weixin_38648800
  1. 电子测量中的基于数字频率合成DDS的正弦信号发生器设计

  2. 1 引言   直接数字频率合成DDS(Direct Digital Syndaesis)是实现数字化的一项关键技术,广泛应用于电信与电子仪器领域DDS通常是在CPLD或FPGA内设置逻辑电路实现的,但由于DDS输出受到D/A转换器的速率及D/A转换后I/V转换中运放的带宽增益和响应时间的限制,CPLD和FPGA内部实现方案在高频段信号幅值已不稳定。因此,这里介绍一种基于DDS器件AD9851的信号发生器设计方案。   2 AD9851简介   AD9851是ADI公司采用先进CMOS技术生
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:312320
    • 提供者:weixin_38719643
  1. 基于FPGA和DDS技术的信号发生器设计

  2. 分析了DDS技术的基本原理和基本结构,介绍了一种基于FPGA的DDS信号发生器设计方法。以FPGA芯片EP2C35F672C8为核心器件,辅以必要的模拟电路,在Quartus II9.0平台下实现系统设计的综合与仿真。实验测试表明该信号发生器输出的波形具有平滑、稳定度高和相位连续等优点,具有一定的工程实践意义。
  3. 所属分类:其它

    • 发布日期:2021-01-29
    • 文件大小:2097152
    • 提供者:weixin_38692666
  1. 基于数字频率合成DDS的正弦信号发生器设计

  2. 1 引言   直接数字频率合成DDS(Direct Digital Syndaesis)是实现数字化的一项关键技术,广泛应用于电信与电子仪器领域DDS通常是在CPLD或FPGA内设置逻辑电路实现的,但由于DDS输出受到D/A转换器的速率及D/A转换后I/V转换中运放的带宽增益和响应时间的限制,CPLD和FPGA内部实现方案在高频段信号幅值已不稳定。因此,这里介绍一种基于DDS器件AD9851的信号发生器设计方案。   2 AD9851简介   AD9851是ADI公司采用先进CMOS技术生
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:442368
    • 提供者:weixin_38569651
« 12 3 4 5 6 7 8 9 10 ... 15 »