您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的任意波形发生器&数字频率计设计

  2. 个人的电子综合设计实验,实现了基于FPGA的任意波形发生器&数字频率计。个人作品,使用时别忘了改了大名。做好用Word2007打开,其他会影响排版。
  3. 所属分类:硬件开发

    • 发布日期:2009-09-11
    • 文件大小:1048576
    • 提供者:wangzhibeiwei
  1. 基于FPGA的波形发生器

  2. 本文档详细描述了波形发生器的设计,想用FPGA做波形发生器的同学快来下载哦
  3. 所属分类:硬件开发

    • 发布日期:2009-11-30
    • 文件大小:160768
    • 提供者:zuoshu_2008
  1. 基于FPGA实现DDS技术的波形发生器的研究

  2. 基于FPGA实现DDS技术的波形发生器的研究
  3. 所属分类:硬件开发

    • 发布日期:2010-05-21
    • 文件大小:228352
    • 提供者:zxswjtuee
  1. 基于FPGA的函数发生器(DDS)

  2. 基于FPGA的函数发生器,采用VHDL语言编写,已硬件实现,能够产生正弦波、三角波、方波和锯齿波四种波形,并且能够调节频率。
  3. 所属分类:其它

    • 发布日期:2014-03-20
    • 文件大小:332800
    • 提供者:u011423158
  1. 基于FPGA的PWM波形发生器的设计

  2. 针对煤矿井下新型无工频变压器级联式多电平变换器设计了一种基于FPGA的数字PWM发生器,解决了单个DSP芯片PWM输出路数不足的问题。介绍了PWM发生器各模块的功能和实现方式,指出其具有使用灵活、占空比可调、CPU资源占用小等优点。该PWM发生器可以使系统扩展出多路复杂的PWM输出,且每路输出都可以独立工作和编程配置。最后通过多电平变换器验证了该PWM发生器的实用性。
  3. 所属分类:其它

    • 发布日期:2020-05-04
    • 文件大小:339968
    • 提供者:weixin_38548421
  1. 基于FPGA的多电平载波移相SPWM方法实现

  2. 基于FPGA的多电平载波移相SPWM方法实现,孙奎,吴凤江,在研究了基于载波移相的SPWM(CPS-SPWM)原理的基础上,设计了一种基于现场可编程门阵列(FPGA)的CPS-SPWM波形发生器。介绍了基于FPGA的波形发�
  3. 所属分类:其它

    • 发布日期:2020-01-27
    • 文件大小:371712
    • 提供者:weixin_38592847
  1. FPGA嵌入式逻辑分析仪的应用基于宏功能模块的波形发生器

  2. 该项目基于宏功能模块的波形发生器,产生三角波,矩形波,锯齿波,阶梯波,正弦波,余弦波.附C语言生成正弦波代码
  3. 所属分类:嵌入式

    • 发布日期:2020-06-22
    • 文件大小:7340032
    • 提供者:m0_38139533
  1. 基于FPGA的三相函数信号发生器设计

  2. 基于FPGA的三相函数信号发生器以DDS为核心,在Altera公司CycloneⅡ系列EP2C8T144C8上实现正弦波、方波、三角波和锯齿波信号的产生,利用单片机PICl8F4550控制波形的频率及相位差。同时单片机通过DAC0832控制波形数据转换DAC902参考电压实现在波形幅度的控制,D/A输出的波形经过放大、滤波后输出。波形参数的输入输出通过触摸屏和液晶屏实现,测试结果显示该系统具有较高的精度和稳定性。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:640000
    • 提供者:weixin_38717169
  1. 基于FPGA的任意波形发生器设计和实现

  2. 波形发生器是一种数据信号发生器,在调试硬件时,常常需要加入一些信号,以观察电路工作是否正常。用一般的信号发生器,不但笨重,而且只发一些简单的波形,不能满足需要。例如用户要调试串口通信程序时,就要在计算机上写好一段程序,再用线连接计算机和用户实验板,如果不正常,不知道是通讯线有问题还是程序有问题。用E2000/L的波形发生器功能,就可以定义串口数据。通过逻辑探勾输出,调试起来简单快捷。任意波形发生器是目前电子测量仪器中发展最为快速的产品之一。它既可输出标准函数信号,也可以产生由用户定义的非标准函数
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:304128
    • 提供者:weixin_38597300
  1. 基于FPGA的DDS励磁恒流源设计

  2. 文中提出一种基于FPGA的DDS信号发生器。信号发生电路采用直接数字频率合成技术,即DDS(Direct Digital Frequency Synth-esis)。它是以全数字技术,从相位概念出发,直接合成所需波形的一种新的频率合成技术。是将先进的数字处理技术和方法引入信号合成领域,把一系列数字量形式的信号通过数/模转换器转换成模拟信号,在时域中进行频率合成。直接数字频率合成器的主要优点是:输出信号频率相对带宽较宽;频率分辨力好、转换时间快;频率变化时相位保持连续;集成度高,体积小,控制方便等
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:242688
    • 提供者:weixin_38500090
  1. 基于FPGA的信号发生器设计

  2. 以FPGA 芯片为载体, 通过QuartusII 的LPM_ROM 模块和VHDL 语言为核心设计一个多功能信号发生器,根据输入信号的选择可以输出递增锯齿波、递减锯齿波、三角波、阶梯波和方波等5 种信号,通过QuartusII 软件进行波形仿真、定时分析,仿真正确后,利用实验板提供的资源,下载到芯片中实现预定功能。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:498688
    • 提供者:weixin_38728624
  1. 嵌入式系统/ARM技术中的基于FPGA的音乐硬件演奏电路设计与实现(二)

  2. 相关资料: 基于FPGA的音乐硬件演奏电路设计与实现(一)       3 系统的方案实现   3.1 各模块仿真及描述   notetabs作为音符rom的地址发生器,此模块中设置了一个8位2进制计数器,频率为4hz,即为4个音符一秒时间四四拍的4分音符。notetabs计数器计数器按4hz时钟频率做加法计数,当stop为高电平时停止加法运算,而当change可设置rom中数据的跳转,rom通过toneindex[30]端口输向tonetaba模块。乐曲就演奏起来了。   由
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:321536
    • 提供者:weixin_38499349
  1. 数据转换/信号处理中的基于FPGA的双路低频信号发生及分析仪(一)

  2. 摘要:设计了一种基于FPGA的双路低频信号发生及分析系统,实现对低频信号的发生和频域分析。系统采用FPGA为低频信号发生模块和分析模块控制芯片,按键输入信号的参数给FPGA,FPGA通过数字频率合成技术产生频率幅度步进可调的双路低频信号,信号经加法器叠加后,再由信号分析模块FPGA通过快速傅里叶变换对信号进行频域提取,并将频率幅度信息直接用LCD显示,实现了低频信号发生和频谱分析的功能。该系统密闭封装,人机界面友好,非常便于操作演示。   l 引言   频率合成技术的应用,对通信、数字电视、
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:241664
    • 提供者:weixin_38727980
  1. EDA/PLD中的基于FPGA的可调信号发生器

  2. 摘要:基于FPGA的应用技术,采用Altera公司DE2-70开发板的CycloneⅡ系列EP2C70作为核心器件,设计了一种基于FPGA的新型可调信号发生器。通过QuartusⅡ软件及Vetilog HDL编程语言设计LPM_ROM模块定制数据ROM,并通过地址指针读取ROM中不同区域的数据,根据读取数据间隔的不同,实现调整频率功能,该系统可产生正弦波、方波、三角波和锯齿波4种波形信号,并使用嵌入式逻辑分析仪对产生的不同波形信号进行实时测试,实验证明,该可调信号发生器系统软件模拟数据和理论定制
  3. 所属分类:其它

    • 发布日期:2020-11-06
    • 文件大小:387072
    • 提供者:weixin_38543293
  1. EDA/PLD中的基于FPGA的三相函数信号发生器设计

  2. 摘要:基于FPGA的三相函数信号发生器以DDS为核心,在Altera公司CycloneⅡ系列EP2C8T144C8上实现正弦波、方波、三角波和锯齿波信号的产生,利用单片机PICl8F4550控制波形的频率及相位差。同时单片机通过DAC0832控制波形数据转换DAC902参考电压实现在波形幅度的控制,D/A输出的波形经过放大、滤波后输出。波形参数的输入输出通过触摸屏和液晶屏实现,测试结果显示该系统具有较高的精度和稳定性。   模拟函数信号发生器输出波形易受输入波形的影响,难以实现移相控制,移相角
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:454656
    • 提供者:weixin_38536267
  1. EDA/PLD中的基于FPGA的DDS信号发生器设计

  2. 0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:285696
    • 提供者:weixin_38659527
  1. 基于 FPGA 的 4 路信号发生器的设计

  2. 结合低电压电泳芯片的电压控制,提出了一种基于FPGA的4路信号发生器的设计方案.介绍了直接频率合成技术(DDS)的基本工作原理,利用IP核设计4路信号发生器的基本流程.实验结果表明:该信号发生器可通过调整波形控制字、频率控制字、相位控制字,控制输出不同波形、不同频率、不同相位的4路信号,且信号波形能满足低电压电泳芯片移动电场控制需要.
  3. 所属分类:其它

    • 发布日期:2021-02-07
    • 文件大小:795648
    • 提供者:weixin_38506798
  1. 基于FPGA的任意波形发生器硬件系统设计与实现.pdf

  2. 基于FPGA的任意波形发生器硬件系统设计与实现
  3. 所属分类:硬件开发

    • 发布日期:2021-02-04
    • 文件大小:4194304
    • 提供者:yaii2003
  1. 基于FPGA的波形发生器

  2. 利用verilog实现的波形发生器
  3. 所属分类:嵌入式

    • 发布日期:2021-01-28
    • 文件大小:7340032
    • 提供者:kiomito
  1. 基于FPGA的DDS信号发生器设计

  2. 0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:273408
    • 提供者:weixin_38692100
« 12 3 4 5 6 7 8 9 10 »