您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的DDS信号发生器的设计开题报告

  2. 基于FPGA的DDS信号发生器的设计开题报告
  3. 所属分类:硬件开发

    • 发布日期:2010-11-26
    • 文件大小:83968
    • 提供者:crule19870104
  1. 基于FPGA的DDS信号发生器

  2. 【摘要】介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成(DDS)的原理,以及以DDS为核心的信号发生器。重点介绍了DDS技术在FPGA中的实现方法,给出了采用ALTERA公司的FLEX系列FPGA芯片FLEX10K进行直接数字频率合成的VHDL源程序。 【关键词】 直接数字频率合成(DDS); 现场可编程逻辑器件(FPGA);硬件描述语言(VHDL); 【前言】随着数字技术在仪表和通信系统中的广泛应用,一种从参考频率源生成多种频率的数字控制方法应运而生,这种技术被称为直接数字合成(
  3. 所属分类:其它

    • 发布日期:2011-07-21
    • 文件大小:123904
    • 提供者:luck_dragon
  1. 基于FPGA的频率合成信号发生器设计(VHDL)

  2. 基于FPGA的频率合成信号发生器设计 基于FPGA的频率合成信号发生器设计(VHDL)基于FPGA的频率合成信号发生器设计(VHDL)
  3. 所属分类:其它

    • 发布日期:2011-07-23
    • 文件大小:3145728
    • 提供者:zhoujian198909
  1. 基于FPGA的DDS信号发生器设计报告

  2. 本文介绍了一种基于FPGA的DDS信号发生器的具体设计,可产生正弦波,三角波,方波以及自定义波
  3. 所属分类:硬件开发

    • 发布日期:2011-08-23
    • 文件大小:304128
    • 提供者:islandhjn
  1. FPGA实现DDS信号发生器

  2. 基于FPGA的DDS信号发生器 基于FPGA的数字频率计
  3. 所属分类:硬件开发

    • 发布日期:2012-12-05
    • 文件大小:2097152
    • 提供者:asd569965
  1. 基于FPGA的DDS信号发生器设计

  2. 基于FPGA的DDS信号源设计与实现 描述了DDS工作原理与FPGA的结合 各个模块解释详细,适合入门。
  3. 所属分类:硬件开发

    • 发布日期:2014-06-30
    • 文件大小:219136
    • 提供者:masterfonking
  1. 基于FPGA的DDS信号发生器

  2. 基于FPGA的DDS信号源设计。本设计采用ISE软件,仿真采用modelsim,设计语言Verilog。DDS信号源采用rom存储技术,并且使用了rom优化算法,即存储了正弦波的1/4个周期,利用正弦波的对称等等关系,可得到完整的波形。最后可产生正弦波,三角波,锯齿波。本设计本人已仿真验证通过。
  3. 所属分类:其它

    • 发布日期:2014-07-11
    • 文件大小:6291456
    • 提供者:u014724434
  1. 基于FPGA的DDS信号发生器设计

  2. 本文介绍了以直接数字频率合成技术(DDS)为基础的波形信号发生器工作原理和设计过程,并在FPGA实验平台上设计实现了满足各功能指标的信号发生器。
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:97280
    • 提供者:weixin_38602982
  1. 基于FPGA的任意波形发生器设计与研究

  2.  任意波形发生器( Arbit rary Waveform Generato r,AWG) 是一种多波型的信号发生器, 它不仅能产生正弦波、指数波等常规波形, 也可以表现出载波调制的多样化。目前任意波形发生器的研制主要基于DDS 技术, 与传统的频率合成器相比, DDS 具有低成本、低功耗、高分辨率和快速转换时间等优点。
  3. 所属分类:其它

    • 发布日期:2020-08-26
    • 文件大小:370688
    • 提供者:weixin_38702515
  1. 基于FPGA的DDS信号发生器设计(频率、幅度、波形可调)

  2. 具体看博客:https://blog.csdn.net/qq_33231534/article/details/108424647 DDS全称为直接数字频率合成(Direct Digital Synthesis),其基本原理是在一个周期波形数据下,通过选取其中全部数据或抽样部分数据组成新的波形,由奈奎斯特采样定理可知,最低两个采样点就可以组成一个波形,但实际上最少需要4个点。其原理框图如下
  3. 所属分类:嵌入式

    • 发布日期:2020-09-16
    • 文件大小:23068672
    • 提供者:qq_33231534
  1. EDA/PLD中的基于FPGA的任意波形发生器设计与研究

  2. 任意波形发生器( Arbit rary Waveform Generato r,AWG) 是一种多波型的信号发生器, 它不仅能产生正弦波、指数波等常规波形, 也可以表现出载波调制的多样化, 如: 产生调频、调幅、调相和脉冲调制等。更可以通过计算机软件实现波形的编辑, 从而生成用户所需要的各种任意波形。任意波形发生器的实现方案主要有程序控制输出、DMA 输出、可变时钟计数器寻址和直接数字频率合成( DDS) 等多种方式 。目前任意波形发生器的研制主要基于DDS 技术, 与传统的频率合成器相比, D
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:302080
    • 提供者:weixin_38576561
  1. 基于FPGA的DDS励磁恒流源设计

  2. 文中提出一种基于FPGA的DDS信号发生器。信号发生电路采用直接数字频率合成技术,即DDS(Direct Digital Frequency Synth-esis)。它是以全数字技术,从相位概念出发,直接合成所需波形的一种新的频率合成技术。是将先进的数字处理技术和方法引入信号合成领域,把一系列数字量形式的信号通过数/模转换器转换成模拟信号,在时域中进行频率合成。直接数字频率合成器的主要优点是:输出信号频率相对带宽较宽;频率分辨力好、转换时间快;频率变化时相位保持连续;集成度高,体积小,控制方便等
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:242688
    • 提供者:weixin_38500090
  1. 基于SOPC的DDS信号发生器设计

  2. 直接数字频率合成技术是一种新型的信号产生方法,是现代信号源的发展方向。该系统由FPGA 控制模块、键盘、LED 显示组成,结合DDS 的结构和原理,采用SOPC 和DDS 技术,设计出具有频率设置功能的多波形信号发生器。以Altera 公司的CycloneⅡ的核心器件EP2C35 为例,NIOS ⅡCPU 通过读取按键的值,实现任意步进、不同波形的输出显示功能。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:415744
    • 提供者:weixin_38550722
  1. 模拟技术中的基于SOPC的DDS信号发生器设计

  2. 摘  要:直接数字频率合成技术是一种新型的信号产生方法,是现代信号源的发展方向。该系统由FPGA 控制模块、键盘、LED 显示组成,结合DDS 的结构和原理,采用SOPC 和DDS 技术,设计出具有频率设置功能的多波形信号发生器。以Altera 公司的CycloneⅡ的核心器件EP2C35 为例,NIOS ⅡCPU 通过读取按键的值,实现任意步进、不同波形的输出显示功能。   0 引 言   直接数字频率合成( Dir ect Dig ital Frequency Synthesis,DDS
  3. 所属分类:其它

    • 发布日期:2020-11-03
    • 文件大小:432128
    • 提供者:weixin_38641561
  1. EDA/PLD中的基于FPGA的DDS信号发生器设计

  2. 0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:285696
    • 提供者:weixin_38659527
  1. 基于FPGA的DDS信号发生器的设计

  2. 基于FPGA的DDS信号发生器的设计
  3. 所属分类:专业指导

    • 发布日期:2021-03-11
    • 文件大小:1048576
    • 提供者:weixin_42773788
  1. 基于FPGA芯片的DDS信号发生器设计的解决方案

  2. 基于FPGA芯片的DDS信号发生器设计的解决方案、电子技术,开发板制作交流
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:141312
    • 提供者:weixin_38714910
  1. 基于FPGA和DDS技术的信号发生器设计

  2. 分析了DDS技术的基本原理和基本结构,介绍了一种基于FPGA的DDS信号发生器设计方法。以FPGA芯片EP2C35F672C8为核心器件,辅以必要的模拟电路,在Quartus II9.0平台下实现系统设计的综合与仿真。实验测试表明该信号发生器输出的波形具有平滑、稳定度高和相位连续等优点,具有一定的工程实践意义。
  3. 所属分类:其它

    • 发布日期:2021-01-29
    • 文件大小:2097152
    • 提供者:weixin_38692666
  1. 基于SOPC的DDS信号发生器设计

  2. 摘  要:直接数字频率合成技术是一种新型的信号产生方法,是现代信号源的发展方向。该系统由FPGA 控制模块、键盘、LED 显示组成,结合DDS 的结构和原理,采用SOPC 和DDS 技术,设计出具有频率设置功能的多波形信号发生器。以Altera 公司的CycloneⅡ的器件EP2C35 为例,NIOS ⅡCPU 通过读取按键的值,实现任意步进、不同波形的输出显示功能。   0 引 言   直接数字频率合成( Dir ect Dig ital Frequency Synthesis,DDS)
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:629760
    • 提供者:weixin_38674512
  1. 基于FPGA的DDS信号发生器设计

  2. 0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:273408
    • 提供者:weixin_38692100
« 12 3 4 5 6 7 8 »