您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于KMP串模式匹配算法的序列检测器的FPGA设计

  2. 基于FPGA设计一个能够检测出重叠匹配串的序列检测器。首先从KMP字符串模式匹配算法出发,推导出next函数值与序列检测器状态之间的关系,并针对匹配串重叠的情况进行修改,得到有限状态机的状态转换图,最后用VHDL语言描述并仿真验证。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:328704
    • 提供者:weixin_38502814