您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL 使用教程(潘松 王国栋编著)

  2. 本书比较系统地介绍了VHDL 的基本语言现象和实用技术全书以实用和可操作 为基点简洁而又不失完整地介绍了VHDL 基于EDA 技术的理论与实践方面的知识 其中包括VHDL 语句语法基础知识第1 章第7 章逻辑综合与编程技术第9 章 有限状态机及其设计第10 章基于FPGA 的数字滤波器设计第11 章多种常用 的支持VHDL 的EDA 软件使用介绍 和大学生电子设计赛题的VHDL 应用介绍 第12 章VHDL 数字系统设计实践介绍第13 章第14 章全书列举了大量VHDL 设 计示例 都通过了附
  3. 所属分类:硬件开发

    • 发布日期:2009-07-04
    • 文件大小:4194304
    • 提供者:jintaodu
  1. VHDL语言100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2009-07-17
    • 文件大小:234496
    • 提供者:ft2569201
  1. VHDL语言100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2009-08-20
    • 文件大小:332800
    • 提供者:sfhgky
  1. VHDL语言100例

  2. 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程死锁 第22例 振荡与
  3. 所属分类:其它

    • 发布日期:2009-08-31
    • 文件大小:320512
    • 提供者:a339238363
  1. 《VHDL实用教程》(潘 松 王国栋 编著)PDF文件(完整的)

  2. 本书比较系统地介绍了VHDL 的基本语言现象和实用技术全书以实用和可操作 为基点简洁而又不失完整地介绍了VHDL 基于EDA 技术的理论与实践方面的知识 其中包括VHDL 语句语法基础知识第1 章第7 章逻辑综合与编程技术第9 章 有限状态机及其设计第10 章基于FPGA 的数字滤波器设计第11 章多种常用 的支持VHDL 的EDA 软件使用介绍第12 章VHDL 数字系统设计实践介绍第13 章和大学生电子设计赛题的VHDL 应用介绍第14 章全书列举了大量VHDL 设 计示例其中大部分经第1
  3. 所属分类:硬件开发

    • 发布日期:2009-09-24
    • 文件大小:4194304
    • 提供者:jianxin882000
  1. VHDL语言100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2010-04-15
    • 文件大小:340992
    • 提供者:monml
  1. 基于VHDL语言的RS232串口通信控制器

  2. 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作
  3. 所属分类:专业指导

    • 发布日期:2010-06-28
    • 文件大小:109568
    • 提供者:peakjuly
  1. 基于verloghdl语言的自动电子售票机设计

  2. 课程设计,基本完整版 VHDL这款自动电子售票机采用74系列芯片及门电路实现课程设计要求,操作简单,能够为用户提供人性化的数据,具有较强的实用性。 功能简介: 售票机可向对象提供单价为1元、3元和7元的票,按票价对应键选中某种单价的票后,通过票数选择按钮还可以选择购买多张此单价的票。然后客户能够使用常用的1元、2元和5元钱币(对应3个标明的输入建)进行付款。 面板显示: 售票机使用了多个数码管分别显示所选票的单价、购票总额、投入钱币总额、欠费和找零,还使用了不同颜色的彩灯表示欠费和找零两种情况
  3. 所属分类:嵌入式

    • 发布日期:2010-12-21
    • 文件大小:336896
    • 提供者:l641209079
  1. vhdl语言设计100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2011-05-24
    • 文件大小:234496
    • 提供者:fqingchan
  1. 卷积码编译码器的VHDL 设计

  2. 由于卷积码具有较好的纠错性能,因而在通信系统中被广泛使用。采用硬件描述语言 VerilogHDL 或VHDL 和FPGA(Field Programmable Gate Array——现场可编程门阵列)进 行数字通信系统设计,可在集成度、可靠性和灵活性等方面达到比较满意的效果[1,2]。 文献[3] 以生成矩阵G=[101,111]的(2,1,3)卷积码为例,介绍了卷积码编码器的原理 和VerilogHDL 语言的描述方式;文献[4] 采用VerilogHDL 语言,对(2,1,7)卷积码的V
  3. 所属分类:IT管理

    • 发布日期:2011-06-09
    • 文件大小:226304
    • 提供者:xiaohangjiayou
  1. VHDL语言100例详解 程序代码

  2. 包括如下100例有关的VHDL描述文件,但解压后只有94例,其他部分错误 第1例?带控制端口的加法器 袁 媛(1) 第2例?无控制端口的加法器 袁 媛(4) 第3例?乘法器 袁 媛(6) 第4例?比较器 袁 媛(8) 第5例?二路选择器 袁 媛(11) 第6例?寄存器 袁 媛(13) 第7例?移位寄存器 袁 媛(16) 第8例?综合单元库 袁 媛(22) 第9例?七值逻辑与基本数据类型 袁 媛(29) 第10例?函数 袁 媛(32) 第11例?七值逻辑线或分辨函数 袁 媛(35) 第12例?转
  3. 所属分类:硬件开发

    • 发布日期:2011-11-10
    • 文件大小:319488
    • 提供者:shamo88
  1. 基于vhdl语言的数字电子钟的设计霏霏

  2. 用vhdl写的数字电子时钟,能够定闹钟,定点报时,调时,用Quartus II 7.2 (32-Bit)写的,压缩文件,里面有源程序,仿真文件等(就是所建的工程)
  3. 所属分类:嵌入式

    • 发布日期:2011-11-15
    • 文件大小:279552
    • 提供者:hdxlzh
  1. vhdl语言100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:硬件开发

    • 发布日期:2012-12-08
    • 文件大小:320512
    • 提供者:cyliu5156
  1. VHDL设计简单例程100例

  2. VHDL设计简单例程100例,简单入门VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试
  3. 所属分类:其它

    • 发布日期:2013-08-03
    • 文件大小:340992
    • 提供者:kenianhang
  1. 基于VHDL的基带线路码产生电路设计

  2. 本课程设计用VHDL硬件描述语言完成了基带线路码产生电路的设计。数字基带信号的传输是数字通信系统的重要组成部分之一。信号在传输前要经过编码的处理。在数字通信中,有些场合可不经过载波调制和解调过程,而对基带信号进行直接传输。为使基带信号能适合在基带信道中传输,通常要经过基带信号变换,这种变换过程事实上就是编码过程。 ~~~~~~~~~~~~~~~~~~~~~~ This course is designed to use VHDL hardware descr iption language c
  3. 所属分类:专业指导

    • 发布日期:2009-02-14
    • 文件大小:915456
    • 提供者:lala515
  1. 汉明码的编码和译码(含有源程序)

  2. 本文用VHDL语言实现了(7,4)汉明码的编码和译码,并通过实例来说明利用VHDL语言实现数字系统的过程。在介绍(7,4)汉明码编码和译码原理的基础上,设计出了(7,4)汉明码的编码器和译码器,写出了基于VHDL实现的源程序,并通过QUARTUSⅡ软件进行仿真验证。
  3. 所属分类:嵌入式

    • 发布日期:2009-03-05
    • 文件大小:99328
    • 提供者:rory163
  1. VHDL语言100 例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2009-03-13
    • 文件大小:331776
    • 提供者:superdir
  1. 通信原理课程设计——基于VHDL语言的(7,4)汉明码编译码的设计

  2. 本文主要介绍利用ALTERA公司的Quartus II软件实现(7,4)汉明码的编码和译码的设计,设计共分为三个模块:m序列产生与分组模块、编码模块、译码模块,实现m序列的分组输出。在QuartusII编辑环境下用VHDL文本输入的输入方法编制程序,经编译正确后进行波形仿真,调试,从而验证设计的正确性。 电路图、源程序、论文三合一,全!
  3. 所属分类:嵌入式

    • 发布日期:2009-03-28
    • 文件大小:261120
    • 提供者:baidu_39693336
  1. 从VHDL语言基础到VHDL仿真综合学习资料下载.rar

  2. 本文档的主要内容详细介绍的是VHDL硬件描述语言入门教程资料免费下载包括了:1.  VHDL语言基础,2. VHDL基本结构,3. VHDL语句,4. 状态机在VHDL中的实现,5. 常用电路VHDL程序,6. VHDL仿真,7. VHDL综合   HDL----Hardware Descr iption Language 一种用于描述数字电路的功能或行为的语言。目的是提为电路设计效率,缩短设计周期,减小设计成本,可在芯片制造前进行有效的仿真和错误检测。   优点: HDL设计的电路能获得非常
  3. 所属分类:其它

    • 发布日期:2019-07-23
    • 文件大小:1048576
    • 提供者:weixin_39840387
  1. 基于VHDL的汉明码编解码器实现

  2. 介绍了汉明码的原理,分析了汉明码编码、解码电路设计思路。利用VHDL语言设计(7,4)汉明码编解码器并通过Quartus II仿真平台进行仿真验证,最后下载到FPGA芯片EP1K30QC208-2实现了汉明码编解码电路。仿真及实验结果证明,该方法实现的汉明码编解码电路方案正确,并具有速度快、修改方便、可移植性好等优点。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:314368
    • 提供者:weixin_38504687
« 12 »