您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单片机应用技术选编(7)

  2. 内容简介    《单片机应用技术选编》(7) 选编了1998年国内50种科技期刊中有关单片机开发应用的文 章共510篇,其中全文编入的有113篇,摘要编入的397篇。全书共分八章,即单片机综合 应用技术;智能仪表与测试技术;网络、通信与数据传输;可靠性与抗干扰技术;控制系统 与功率接口技术;电源技术;实用设计;文章摘要。    本书具有重要实用价值,书中介绍的新技术、新器件以及单片机应用系统的软、硬件资 料有助于减少产品研制过程中的重复性劳动,提高单片机应用技术水平,是从事单片机应用 开发技
  3. 所属分类:硬件开发

    • 发布日期:2010-05-19
    • 文件大小:13631488
    • 提供者:zgraeae
  1. cfi接口flash的读写FPGA实现

  2. CFI FLASH通用接口的读写控制,基于verilog代码实现,验证通过
  3. 所属分类:硬件开发

    • 发布日期:2014-12-04
    • 文件大小:45056
    • 提供者:eastwind0903
  1. 深入研究NANDFlash控制器.pdf

  2. 移动电话的功能日益丰富,其对系统中数据存储容量的需求正在快速增长。 NAND Flash具有速度快、密度大、成本低等特点,在各种数码产品中得到了广泛 应用,在各种片上系统芯片中(SOC)集成NAND Flash控制器正成为一种趋势。 本文讨论了Flash Memory的两种主流实现技术即NAND Flash和NOR Flash 的特点和区别,分析了市场上存在的NAND Flash的典型规格及其存储结构特点, 阐述了不同NAND Flash器件一些通用的存取操作方式,近一步分析了进行这些 存取操
  3. 所属分类:其它

    • 发布日期:2019-07-23
    • 文件大小:5242880
    • 提供者:weixin_39840914
  1. FPGA自学笔记——设计与验证VIP版.pdf

  2. 开始有计划写这本书的时候, Altera 还叫 Altera, 还没有加入 Intel 的大家庭, Xilinx 的 ZYNQ 也才刚刚开始有人探索, Altera 大学计划第一次将亚洲创新大赛由传统的 SOPC 大赛 换成了 SOC 大赛,软核变硬核,性能翻几番。 那个时候,能出一本认认真真讲 FPGA 设计的 书, 会得到非常高的评价。 而我,则由于工作变动, 中间拖沓了半年,当半年后再来准备动 笔时,才恍然领悟到, Altera 即将成为 Intel 的可编程事业部, 基于嵌入式硬核的 S
  3. 所属分类:硬件开发

    • 发布日期:2019-09-03
    • 文件大小:16777216
    • 提供者:qq_30307853
  1. 基于FPGA的flash读写控制

  2. 基于FPGA的flash读写控制,包括擦除(格式化),写数据和读数据,使用Verilog HDL描述。
  3. 所属分类:嵌入式

    • 发布日期:2012-08-17
    • 文件大小:6144
    • 提供者:jackinzhou
  1. 基于FPGA的串行flash的读写控制

  2. 基于FPGA的串行flash的读写控制之串行flash的管脚、寄存器和操作命令。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:40960
    • 提供者:weixin_38750406
  1. 基于FPGA的SPI Flash控制器的设计

  2. 本文提出一个基于FPGA的SPI Flash读写硬件实现方案,该方案利用硬件对SPI Flash进行控制,能够非常方便地完成Flash的读写、擦除、刷新及预充电等操作
  3. 所属分类:其它

    • 发布日期:2020-08-14
    • 文件大小:239616
    • 提供者:weixin_38720050
  1. 基于FPGA的SPI Flash控制器的设计方案

  2. 传统的Flash读写是通过CPU软件编程实现,其读写速度较慢,且占用CPU资源,另外由于Flash芯片本身功能指令较多,使得对芯片进行直接操作变得非常困难。本文提出一个基于FPGA的SPI Flash读写硬件实现方案,该方案利用硬件对SPI Flash进行控制,能够非常方便地完成Flash的读写、擦除、刷新及预充电等操作,同时编写的SPI Flash控制器IP核能够进行移植和复用。
  3. 所属分类:其它

    • 发布日期:2020-08-30
    • 文件大小:219136
    • 提供者:weixin_38697471
  1. 嵌入式系统/ARM技术中的基于FPGA的高速大容量FLASH存储设备设计

  2. 1、引言   用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二值数据的数字电路。数字电路与数字电子技术广泛的应用于电视、雷达、通信、电子计算机、自动控制、航天等科学技术各个领域。在我国20世纪90年代以前,测试系统采用磁带记录,效率和安全保障性不高;随之出现的是遥测技术,但在传输数据的过程中会出现误差;接着
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:181248
    • 提供者:weixin_38707826
  1. 嵌入式系统/ARM技术中的基于Flash和JTAG的FPGA系统

  2. 引言   针对需要切换多个FPGA配置码流的场合, Xilinx公司提出了一种名为System ACE的解决方案,它利用CF(Compact Flash)存储卡来替代配置用PROM,用专门的ACE控制芯片完成CF卡的读写,上位机软件生成专用的ACE文件并下载到CF存储卡中,上电后通过ACE控制芯片实现不同配置码流间的切换。   System ACE的解决方案需要购买CF存储卡和专用的ACE控制芯片,增加了系统搭建成本和耗费了更多空间,而且该方案只能实现最多8个配置文件的切换,在面对更多个配置
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:196608
    • 提供者:weixin_38674763
  1. 存储/缓存技术中的基于FPGA的SPI Flash控制器的设计方案

  2. 摘要:传统的Flash读写是通过CPU软件编程实现,其读写速度较慢,且占用CPU资源,另外由于Flash芯片本身功能指令较多,使得对芯片进行直接操作变得非常困难。   本文提出一个基于FPGA的SPI Flash读写硬件实现方案,该方案利用硬件对SPI Flash进行控制,能够非常方便地完成Flash的读写、擦除、刷新及预充电等操作,同时编写的SPI Flash控制器IP核能够进行移植和复用,作为SOC芯片的功能模块。SPI Flash控制器采用VHDL语言进行编写,在Modelsim 6.5
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:207872
    • 提供者:weixin_38690522
  1. 基于FPGA的NAND Flash控制接口电路设计

  2. 1引言随着存储技术的不断进步,FlashMemory的存储容量越来越大,读写数度越来越快。性能价格比越来越高。但是,NANDFlash本身存在缺点,归纳起来有两点:读写控制时序复杂和位交换(o、1反转)问题。NANDFlash器
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:226304
    • 提供者:weixin_38514805
  1. 基于FPGA和DSPIC30F6014A的数据记录器设计

  2. 针对目前飞行数据记录器对数据的大容量和高速存储的需求,提出了一种以DSPIC30F6014A控制USB接口芯片CH378读写U盘数据,并添加FPGA控制读写大容量Flash数据作为高速数据缓冲以实现脱离计算机存储高速大容量数据至U盘的设计方案。该方案能够实现大容量数据存储功能,并能够极大地提高U盘读写数据的速度,具有设备体积小、成本低和便于携带等优点,很大程度缓解了数据存储的压力,具有很广阔的应用前景。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:562176
    • 提供者:weixin_38545485
  1. 基于FPGA的高速大容量固态存储设备设计

  2. 采用大容量的固态Flash作为存储介质,用FPGA作为存储阵列的控制器,设计了高速大容量的存储板卡,实现了数据采集过程中用相对低速的Flash存储器存储高速实时数据。FPGA既可作为高速输入数据传输到Flash中的缓存,又能实现对存储器的读写、擦除等操作时序的控制。给出了读写Flash的时序,并实现了通过工控机CPCI总线对存储器的数据读取。
  3. 所属分类:其它

    • 发布日期:2021-02-01
    • 文件大小:1048576
    • 提供者:weixin_38717579
  1. 基于FPGA的星载NAND FLASH控制器的设计

  2. 为了实现在轨卫星的数据的高效存储,本文设计了一种基于FPGA的NAND FLASH控制器。该控制器适配常用的异步NAND FLASH,支持对多片NAND FLASH阵列控制;支持NAND FLASH操作超时异常检测;支持对FLASH的复位、读数据、写数据、块擦除、读ID等常用功能。选用ARM公司提出的AHB总线这一高效的现场片上互连总线,设计AHB接口模块,将底层的FPGA挂接到AHB总线上。通过Cortex-M3内核向底层FPGA发送相关命令及数据,实现CPU+FPGA架构。经过仿真及上板调试
  3. 所属分类:其它

    • 发布日期:2021-01-26
    • 文件大小:1048576
    • 提供者:weixin_38609913
  1. 基于FPGA的高速大容量FLASH存储设备设计

  2. 1、引言   用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二值数据的数字电路。数字电路与数字电子技术广泛的应用于电视、雷达、通信、电子计算机、自动控制、航天等科学技术各个领域。在我国20世纪90年代以前,测试系统采用磁带记录,效率和安全保障性不高;随之出现的是遥测技术,但在传输数据的过程中会出现误差;接着
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:197632
    • 提供者:weixin_38740391
  1. 基于Flash和JTAG的FPGA系统

  2. 引言   针对需要切换多个FPGA配置码流的场合, Xilinx公司提出了一种名为System ACE的解决方案,它利用CF(Compact Flash)存储卡来替代配置用PROM,用专门的ACE控制芯片完成CF卡的读写,上位机软件生成专用的ACE文件并到CF存储卡中,上电后通过ACE控制芯片实现不同配置码流间的切换。   System ACE的解决方案需要购买CF存储卡和专用的ACE控制芯片,增加了系统搭建成本和耗费了更多空间,而且该方案只能实现多8个配置文件的切换,在面对更多个配置文件时
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:202752
    • 提供者:weixin_38704011