您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. C语言高级编程技术-最爱欢迎的的编程语言之一

  2. 高级编程技术 介绍如何利用 TC 系统所提供的相关函数实现菜单设计、图形绘制、动画的播放、乐曲 的演奏、汉字的显示、图片的显现等技术,在讲述时,以问题-解答的方式来逐渐阐明。 1 文本的屏幕输出和键盘输入........................................................................................... 1 1.1 文本的屏幕输出..........................................
  3. 所属分类:C

    • 发布日期:2009-07-22
    • 文件大小:345088
    • 提供者:randychenzc
  1. 基于VHDL的程序设计集

  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MA
  3. 所属分类:嵌入式

    • 发布日期:2009-08-13
    • 文件大小:1048576
    • 提供者:lvjingsok
  1. 正弦信号发生器[2005年电子大赛二等奖]

  2. 本系统设计一个正弦信号发生器,使用凌阳公司的16位单片机SPCE061A作为中央控制器,结合DDS芯片AD9850,产生0~15MHz频率可调的正弦信号,正弦信号频率设定值可断电保存;使用宽频放大技术,在50Ω负载电阻上使1K~10MHz范围内的正弦信号输出电压幅度VP-P=6V±1V;产生载波频率可设定的FM和AM信号;调制信号为1KHz的正弦波,调制信号的产生采用DDS技术,由CPLD和Flash ROM加上DAC进行直接数字合成;二进制基带序列码由CPLD产生,在100KHz固定载波频率
  3. 所属分类:硬件开发

    • 发布日期:2009-09-02
    • 文件大小:353280
    • 提供者:lyhwzsz1988
  1. 基带码发生器程序设计与仿真

  2. 基于VHDL硬件描述语言的基带码发生器程序设计与仿真,基于VHDL硬件描述语言,产生常用基带码
  3. 所属分类:嵌入式

    • 发布日期:2009-09-02
    • 文件大小:46080
    • 提供者:geqiqi1314
  1. 基带码发生器程序设计与仿真

  2. 基于VHDL硬件描述语言的基带码发生器程序设计与仿真。包括非归零、单极性归零、双极性归零、交替极性、差分、分相码(曼彻斯特码)等。
  3. 所属分类:嵌入式

    • 发布日期:2009-09-06
    • 文件大小:79872
    • 提供者:sukkqu
  1. vhdl 的几个好例子

  2. 电子琴 频率计 FSK MASK 基带码发生器 LED TCL5510 等例子
  3. 所属分类:专业指导

    • 发布日期:2009-09-25
    • 文件大小:1048576
    • 提供者:choncan
  1. FPGA有价值的27个实例

  2. 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFS
  3. 所属分类:嵌入式

    • 发布日期:2009-11-15
    • 文件大小:1048576
    • 提供者:hb830513821001
  1. FPGA实例大全,都包含源程序仿真,实际运行通过

  2. 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFS
  3. 所属分类:嵌入式

    • 发布日期:2010-03-28
    • 文件大小:1048576
    • 提供者:hughzotob
  1. 基带码源发生器(NRZ码、AMI码和HDB3 码)

  2. 用EDA设计基带码源,设计方法,设计程序,仿真的波形。。。
  3. 所属分类:嵌入式

  1. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序) 8

  2. FPGA电子课件(共8章,很全面包括altera和xilinx,附带程序) Xilinx AlteraFPGA最小系统板设计 多功能波形发生器的系统设计 8.2 FPGA对LED显示器的.ppt 8.3 FPGA对LCD显示器的.ppt 8.5 TLC5510接口电路及程序设计.ppt 8.19自动升降电梯控制器设计.ppt 8.16 采用测频原理的数字频率计.ppt 8.15数字基带信号传输码型发生器设计.ppt 8.11二进制相位键控(PSK)调制器与解调器设计.ppt 8.24 步进电机
  3. 所属分类:3G/移动开发

    • 发布日期:2011-07-05
    • 文件大小:2097152
    • 提供者:wxm002
  1. vhdl实用例程 fpga很有价值的27实例教程

  2. fpga很有价值的27实例教程下载LED控制VHDL程序与仿真 LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及
  3. 所属分类:专业指导

    • 发布日期:2011-07-31
    • 文件大小:1048576
    • 提供者:xlmtong
  1. 基带码发生器程序设计与仿真

  2. 基带码发生器程序设计与仿真 课设很给力 源码 很方便 共享
  3. 所属分类:C/C++

    • 发布日期:2012-05-14
    • 文件大小:79872
    • 提供者:v450love
  1. 基于FPGA的数字基带信号传输码型发生器设计

  2. 1.单极性非归零码(NRZ码) 2.双极性非归零码(NRZ码) 3.单极性归零码(RZ码) 4.双极性归零码(RZ码) 5.差分码 6.交替极性码(AMI码) 7.分相码(曼彻斯特码) 8.编码信号反转码(CMI码)
  3. 所属分类:硬件开发

    • 发布日期:2012-07-07
    • 文件大小:149504
    • 提供者:lixianhui5532
  1. FPGA有价值的27例

  2. FPGA有价值的27例 实例 源代码 波形发生程序 URAT VHDL程序与仿真 基带码发生器程序设计与仿真
  3. 所属分类:嵌入式

    • 发布日期:2012-09-18
    • 文件大小:1048576
    • 提供者:baiseliaoya
  1. FPGA很有价值的27实例

  2. 8.2 LED控制VHDL程序与仿真 2004.8修改.doc 8.4 ADC0809 VHDL控制程序.doc 8.7 TLC7524接口电路程序.doc 8.9 ASK调制与解调VHDL程序及仿真.doc 8.12 MASK调制VHDL程序及仿真.doc 8.15 基带码发生器程序设计与仿真.doc 8.18 电子琴程序设计与仿真 2004.8修改.doc 8.20 电子时钟VHDL程序与仿真.doc 8.22 出租车计价器VHDL程序与仿真.doc
  3. 所属分类:嵌入式

    • 发布日期:2009-03-27
    • 文件大小:1048576
    • 提供者:trcache
  1. matlab函数大全-matlab函数大全.doc

  2. matlab函数大全-matlab函数大全.doc 比较白痴的东西,不过对初学者也许有用,特分享一下。不要喷我哦!函数具体用法可以用help查一下。附件内容与下面一样的。 Aabs 绝对值、模、字符的ASCII码值 acos 反余弦 acosh 反双曲余弦 acot 反余切 acoth 反双曲余切 acsc 反余割 acsch 反双曲余割 align 启动图形对象几何位置排列工具 all 所有元素非零为真 angle 相角 ans 表达式计算结果的缺省变量名 any 所有元素非全零为真 are
  3. 所属分类:其它

    • 发布日期:2019-08-12
    • 文件大小:38912
    • 提供者:weixin_39840914
  1. 北理工通信电路与系统实验(软件)

  2. 2.1 简单基带传输系统分析 一、实验目的 1.掌握观察系统时域波形、学会功率谱分析,重点学习和掌握观察眼图的操作方法; 2.结合实践,加强对数字基带通信系统原理和分析方法的掌握; 3.进一步熟悉systemview软件的使用,掌握主要操作步骤。 二、实验内容 构造一个简单示意性基带传输系统。以双极性PN码发生器模拟一个数据信源,码速率为100bit/s,低通型信道中的噪声为加性高斯噪声(标准差=0.3v)。要求: 1.观测接收输入和低通滤波器输出的时域波形; 2.观测接收滤波
  3. 所属分类:讲义

    • 发布日期:2020-03-05
    • 文件大小:3145728
    • 提供者:qq_42258207
  1. 高速记数模块A1SD62用户参考手册.pdf

  2. 高速记数模块A1SD62用户参考手册pdf,高速记数模块A1SD62用户参考手册〖有关设计上的注意事项〗 ①危险 ●外部输岀品体管损坏会导致输岀保持为ON或OFF,添加外部监控电路监洳由于错淏 执行可能导致严重事故的输出信号 △注意 不要将控制线或通讯线与主电路或电源线捆在一起,或与它们靠的太 作为指导,这些线之间至少分开150mm,否则,可能囚为噪声导致故障。 〖有关安装时的注意事项〗 △注意 ●使用PC的环要谆守手册中的通用规柊。 如果使用PC的环境超出了手册中声明的道用规格的范围,可能会导
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:1048576
    • 提供者:weixin_38744435
  1. 富士电机多功能型变频器FRENIC-MEGALite系列样本.pdf

  2. 富士电机多功能型变频器FRENIC-MEGALite系列样本pdf,本资料是关于富士电机高性能多功能型变频器FRENIC-MEGALite系列样本,更多详细内容请点击下载!FRENID MEGA LiiE ■广泛的用途 简单操作维护保养支持的提高 防止物品滑落的功能 具有利于速度指令工作的功能 搭载UsB接口实现Pc加载器简易信息管理!(选配件)型号:TPE1U 在上下撇运等过程中,提高了制动信号 将脉冲列翰入功能作为标准配置 提高现场作业性能 ●在生产现场,从操作面板上的US日接口可直接向计算
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:2097152
    • 提供者:weixin_38743602
  1. FSK/PSK调制的FPGA实现

  2. 基于DDS和VHDL硬件描述技术,采用大规模可编程门阵列FPGA,实现了FSK和PSK数字调制。介绍了m伪随机基带码元发生器、跳变检测器和DDS信号发生等模块。系统参数易修改、可移植性强、性能稳定。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:259072
    • 提供者:weixin_38671048