您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数电课程设计(十三进制同步减法计数器和串行序列信号检测器)

  2. 很好的数电课程设计:内容有:十三进制同步减法计数器,串行序列信号检测器,六进制同步加法计数器。设计准确。希望对课设的朋友有帮助。
  3. 所属分类:专业指导

    • 发布日期:2009-10-29
    • 文件大小:357376
    • 提供者:guodongwuyu
  1. 序列信号发生器的设计

  2. 了解序列信号发生器的原理,掌握原理图和语言描述的层次设计方法
  3. 所属分类:专业指导

    • 发布日期:2009-11-17
    • 文件大小:349184
    • 提供者:tzd529585047
  1. 波形与序列信号发生器设计实验

  2. 多谐振荡器 序列信号发生器 数模转换电路 555的应用 74LS194移位寄存器 EEPROM2864
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:1048576
    • 提供者:cylong789
  1. protues 用数字选择器产生序列信号00011101.DSN

  2. 用protues设计 用数字选择器产生序列信号00011101.DSN
  3. 所属分类:专业指导

  1. EDA课程设计—序列信号检测器

  2. 1、设计一个有限状态机,用以检测输入序列“1110101101”由左开始。画出状态转换图、使用VHDL语言编程、使用EPM7128SLC84-15芯片。
  3. 所属分类:专业指导

    • 发布日期:2011-05-03
    • 文件大小:399360
    • 提供者:ydd627706076
  1. 序列信号发生器与检测器设计

  2. 用状态机设计实现串序列检测器设计,可以用原理图输入法设计序列信号发生器.
  3. 所属分类:专业指导

    • 发布日期:2011-11-23
    • 文件大小:472064
    • 提供者:chewbee88
  1. 时序电路设计:序列信号发生器.ms10

  2. 时序电路设计:序列信号发生器.ms10
  3. 所属分类:嵌入式

    • 发布日期:2012-05-17
    • 文件大小:72704
    • 提供者:w478543890
  1. 序列信号发生器的设计

  2. EDA技术及其应用《序列信号发生器的设计》,包括源文件。-EDA technology and its applications " sequence signal generator design, including source files
  3. 所属分类:其它

    • 发布日期:2012-10-31
    • 文件大小:620544
    • 提供者:iswzy
  1. 序列信号检测器

  2. 序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的相同,则输出 1,否则输出 0。
  3. 所属分类:专业指导

    • 发布日期:2013-06-13
    • 文件大小:306176
    • 提供者:u011047241
  1. 基于FPGA的序列信号发生器

  2. 该代码是用状态机组织的序列信号发生器,是学习状态机的很好的代码
  3. 所属分类:嵌入式

    • 发布日期:2015-06-23
    • 文件大小:146432
    • 提供者:qishi2014
  1. EDA论文 序列信号发生器的设计

  2. 序列信号 VHDL EDA 仿真 MAX+PLUSⅡ
  3. 所属分类:嵌入式

    • 发布日期:2009-03-02
    • 文件大小:63488
    • 提供者:chen_jing1_17
  1. 一种二进制序列信号检测器的3种设计方法

  2. 二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。该检测电路可广泛用于日常生产、生活及军事。例如,可以用在密码认证中,当输入密码与事先设定的密码一致时,认证成功。
  3. 所属分类:其它

    • 发布日期:2020-07-26
    • 文件大小:62464
    • 提供者:weixin_38672739
  1. 一类序列信号检测器的设计

  2. 介绍了一种二进制序列信号检测器的3种设计方法,该电路可应用于安全防盗、密码认证等加密场合,以及在海量数据中对敏感信息的自动侦听。电路采用数字系统设计方法,步骤程序化,电路可靠性高。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:159744
    • 提供者:weixin_38571104
  1. 一种二进制序列信号检测器的3种设计方法

  2. 二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。该检测电路可广泛用于日常生产、生活及军事。例如,可以用在密码认证中,当输入密码与事先设定的密码一致时,认证成功。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:252928
    • 提供者:weixin_38736562
  1. 3种二进制序列信号检测器的实现方案

  2. 该检测电路可广泛用于日常生产、生活及军事。在许多电子技术资料中也有一些序列信号检测电路的设计,但设计方法单一、扩展性不强。下面通过实例来说明电路的3种设计方法。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:222208
    • 提供者:weixin_38710578
  1. 模拟技术中的序列信号发生器

  2. 序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号.能产生这种信号的逻辑器件就称为序列信号发生器.根据结构不同,它可分为反馈移位型和计数型两种.   1、移位型序列信号发生器   1.移位型序列信号发生器的组成   移位型序列信号发生器是由移位寄存器和组合电路两部分构成,组合电路的输出,作为移位寄存器的串行输入。由n位移位寄存器构成的序列信号发生器所产生的序列信号的最大长度为:P=2n   2.移位型序列信号发生器的设计(我们通过例题来说明)   例1.试设计一个000111
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:76800
    • 提供者:weixin_38681646
  1. 模拟技术中的一种二进制序列信号检测器的3种设计方法

  2. 二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。该检测电路可广泛用于日常生产、生活及军事。例如,可以用在密码认证中,当输入密码与事先设定的密码一致时,认证成功。再如在军事领域,比较关心的是敌方通信中某些感兴趣的内容,而通信数据是海量且加密的,没有必要一一破译,只要破译部分关键词后,就可在侦听过程中,只检测这些关键词,一旦侦听到,立即启动记录仪,记录关键词时段的通信内容加以解密。在许多电子技术资料中也有一些序列信号检测电
  3. 所属分类:其它

    • 发布日期:2020-11-03
    • 文件大小:207872
    • 提供者:weixin_38556394
  1. dmp:动态运动基元(DMP)的库,它是(时间标度不变)行为轨迹时间序列信号的紧凑学习表示-源码

  2. 动态运动原语 资料来源: : 动态运动基元(DMP)的库,它是(时间标度不变)行为/轨迹/时间序列信号的紧凑学习表示。 该代码库包含C ++,Python和MATLAB中DMP的实现。 C ++实现支持在机器人的(硬)实时控件中执行。不维护MATLAB实现,仅在此处提供参考。 致谢 该代码库是在马克斯·普朗克智能系统研究所和南加州大学的慷慨支持下开发的。 引文: phdthesis{sutanto2020phddissertation, title = {Leveraging Stru
  3. 所属分类:其它

    • 发布日期:2021-03-18
    • 文件大小:25165824
    • 提供者:weixin_42170790
  1. 序列信号发生器

  2. 序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号.能产生这种信号的逻辑器件就称为序列信号发生器.根据结构不同,它可分为反馈移位型和计数型两种.   1、移位型序列信号发生器   1.移位型序列信号发生器的组成   移位型序列信号发生器是由移位寄存器和组合电路两部分构成,组合电路的输出,作为移位寄存器的串行输入。由n位移位寄存器构成的序列信号发生器所产生的序列信号的长度为:P=2n   2.移位型序列信号发生器的设计(我们通过例题来说明)   例1.试设计一个00011101
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:98304
    • 提供者:weixin_38728183
  1. 一种二进制序列信号检测器的3种设计方法

  2. 二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。该检测电路可广泛用于日常生产、生活及军事。例如,可以用在密码中,当输入密码与事先设定的密码一致时,成功。再如在军事领域,比较关心的是敌方通信中某些感兴趣的内容,而通信数据是海量且加密的,没有必要一一破译,只要破译部分关键词后,就可在侦听过程中,只检测这些关键词,一旦侦听到,立即启动记录仪,记录关键词时段的通信内容加以解密。在许多电子技术资料中也有一些序列信号检测电路的设计
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:260096
    • 提供者:weixin_38694141
« 12 3 4 5 6 7 8 9 10 ... 50 »