点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 序列生成器
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
卡巴斯基kis8最新版序列号生成器
卡巴斯基kis8最新版序列号生成器 卡巴斯基kis8最新版序列号生成器
所属分类:
系统安全
发布日期:2009-05-08
文件大小:635kb
提供者:
tiantangniaoer
Install4j Keygen 生成器
Install4j Keygen 序列号
所属分类:
其它
发布日期:2009-05-13
文件大小:4kb
提供者:
yl123ly0321
avg8序列号生成器
AVG 杀软界属于耳熟能详的名称,来自捷克、07年全球顶级杀毒软件排行第八、在欧美家喻户晓的杀毒软件。 AVG专业版 序列号生成器
所属分类:
专业指导
发布日期:2009-06-11
文件大小:1mb
提供者:
njnjerry
生成随机数的序列号的win32程序
vb 实现的序列号生成器,利用人工和数据库结合来实现软件无法破解的加密功能。
所属分类:
VB
发布日期:2009-08-16
文件大小:28kb
提供者:
xiongershan
基于matlab的gold序列生成器
基于matlab的gold序列生成器,希望对大家有用
所属分类:
其它
发布日期:2009-09-19
文件大小:1kb
提供者:
xiaopanchen
利用m文件和simulink生成伪随机序列m序列
在matlab环境下综合m文件和仿真库simulink实现伪随机m序列的产生,也可进行扩展。
所属分类:
嵌入式
发布日期:2009-09-23
文件大小:7kb
提供者:
wfl42094
TIS2008趋势杀毒2009的序列号
趋势杀毒2009的序列号生成器 密码是 trendmicro
所属分类:
其它
发布日期:2009-09-30
文件大小:110kb
提供者:
chx200009
lfsr伪随机序列生成器
利用线性反馈移位寄存器生成伪随机序列,然后用游程定理对随机性检测。这是一个十级的移位寄存器。
所属分类:
专业指导
发布日期:2009-12-13
文件大小:1mb
提供者:
uestchl
xp序列号自动生成器
XP序列号自动更换器 让D版xp通过正版认证.vbs
所属分类:
VB
发布日期:2009-12-17
文件大小:846byte
提供者:
vip_lq
任意长m序列生成器MATLAB版
m输出的m序列 x输入的本原多项式特性矩阵 oph寄存器的初始相位 Size欲输出的m序列的长度 输入x、oph、Size参数后就能生成一个按要求的m序列,希望对大家有所帮助
所属分类:
其它
发布日期:2010-02-02
文件大小:941byte
提供者:
heshaobo_123
扩频通信中m序列生成器
m序列是扩频通信中不可少的基础程序,本文件包含了所需要的m序列生成器
所属分类:
专业指导
发布日期:2010-09-07
文件大小:401byte
提供者:
haha02
均匀分布随机序列生成器
均匀分布随机序列生成器,一次随机生成2000个数据,算出EX,DX,可显示分布情况。(正态分布部分还未完成,导出数据部分比较简单,大家可自行完成)
所属分类:
专业指导
发布日期:2010-12-14
文件大小:1mb
提供者:
adolfhitlerfxr
flash builder序列生成器
flash builder序列生成器
所属分类:
Flash
发布日期:2011-01-07
文件大小:67kb
提供者:
w406677252
椭圆曲线伪随机序列生成器
摘要 伪随机序列在扩频通讯、多址通讯(CDMA)、软件测试、序列密码和公钥密 码中都有广泛的应用。特别在序列密码中,密钥流序列的随机性和不可预测性完 全决定了序列密码系统的安全性。构造适合各种用途的伪随机序列一直是密码研 究的热点。本文研究利用椭圆曲线构造的伪随机序列(伪随机数)几种经典的方 法。
所属分类:
其它
发布日期:2011-04-12
文件大小:1mb
提供者:
ahoo135
pn9序列生成器
用VHDL编写的pn9序列生成器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity pn_9 is port(clk,rst:in std_logic; pnout:out std_logic ); end pn_9; architecture behavior of pn_9 is signal shifter :std_logic_vector(8 downto 0); be
所属分类:
硬件开发
发布日期:2013-01-17
文件大小:792byte
提供者:
whzxcvbnm
m序列发生器
verilog写的m序列生成器,3阶的,并3位一组输出,quartus编译通过,modelsim仿真验证过。
所属分类:
硬件开发
发布日期:2014-04-15
文件大小:9mb
提供者:
u012254631
标准的Geffe密钥流序列生成器
程序说明 标准的Geffe密钥流序列生成器 3个LFSR的级数分别为n1=18,n2=17,n3=7,两两互素 array1,array2,array3分别为3个LFSR的初始输入,数字为十进制数 lfsr1,lfsr2,lfsr3分别为3个LFSR的输出 Geffe_out为输出的密钥序列
所属分类:
网络攻防
发布日期:2020-09-16
文件大小:1kb
提供者:
weixin_49479073
EDA/PLD中的一种基于FPGA的准单输入调变序列生成器设计
1.引言 随着集成电路复杂度越来越高,测试开销在电路和系统总开销中所占的比例不断上升,测试方法的研究显得非常突出。目前在测试源的划分上可以采用内建自测试或片外测试。内建自测试把测试源和被测电路都集成在芯片的内部,对于目前SOC级的芯片测试如果采用内建自测试则付出的硬件面积开销则是很大的,同时也增加了芯片设计的难度:因此片外测试便成为目前被普遍看好的方法。由于FPGA具有可重构的灵活性,利用FPGA来作为测试源实现片外测试就是一种非常有效的手段。 由于伪随机模式测试只需要有限个数的输入
所属分类:
其它
发布日期:2020-11-26
文件大小:70kb
提供者:
weixin_38653878
深入解读PostgreSQL中的序列及其相关函数的用法
一、简介 序列对象(也叫序列生成器)就是用CREATE SEQUENCE 创建的特殊的单行表。一个序列对象通常用于为行或者表生成唯一的标识符。 二、创建序列 方法一:直接在表中指定字段类型为serial 类型 david=# create table tbl_xulie ( david(# id serial, david(# name text); NOTICE: CREATE TABLE will create implicit sequence "tbl_xulie_id_seq" fo
所属分类:
其它
发布日期:2020-12-16
文件大小:69kb
提供者:
weixin_38670529
一种基于FPGA的准单输入调变序列生成器设计
1.引言 随着集成电路复杂度越来越高,测试开销在电路和系统总开销中所占的比例不断上升,测试方法的研究显得非常突出。目前在测试源的划分上可以采用内建自测试或片外测试。内建自测试把测试源和被测电路都集成在芯片的内部,对于目前SOC级的芯片测试如果采用内建自测试则付出的硬件面积开销则是很大的,同时也增加了芯片设计的难度:因此片外测试便成为目前被普遍看好的方法。由于FPGA具有可重构的灵活性,利用FPGA来作为测试源实现片外测试就是一种非常有效的手段。 由于伪随机模式测试只需要有限个数的输入
所属分类:
其它
发布日期:2021-01-19
文件大小:70kb
提供者:
weixin_38696196
«
1
2
3
4
5
6
7
8
9
10
...
27
»