您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多功能电子表 数字频率计 交通灯控制器 源程序集

  2. 系统设计实例 源程序集 半整数分频器的设计 音乐发生器 2FSK/2PSK信号产生器 实用多功能电子表 交通灯控制器 数字频率计 ……
  3. 所属分类:交通

    • 发布日期:2009-05-03
    • 文件大小:611328
    • 提供者:tanhaijun2007
  1. 2FSK调制与解调电路

  2. 一、设计基本原理和系统框图 2FSK系统分调制和解调两部分。 ① 调制部分:2FSK信号的产生方法主要有两种。第一种是用二进制基带矩形脉冲信号去调制一个调频器,如(a)图所示,使其能够输出两个不同频率的码元。第二种方法是用一个受基带脉冲控制的开关电路去选择两个独立频率源的振荡作为输出,如(b)图所示。这两种方法产生的2FSK信号的波形基本相同,只有一点差异,即由调频器产生的2FSK信号,在相邻码元之间的相位是连续的,如(c)图所示;而开关法产生的2FSK信号,则分别由两个独立的频率源产生不同频
  3. 所属分类:C

    • 发布日期:2009-05-19
    • 文件大小:231424
    • 提供者:jayzf0503
  1. 通信原理课程设计(SystemView仿真)

  2. 绪论 2 SystemView及其操作简介 3 1.1 SystemView的基本特点 3 1.2 SystemView系统视窗 3 1.3 系统定时(System Time) 5 1.4 分析窗介绍 6 数字带通传输系统仿真 7 2.1 2ASK调制系统 7 2.2 2FSK调制系统 13 2.3 2PSK调制系统 19 2.4 2DPSK调制系统 22 16QAM调制系统分析 27 3.1 16QAM系统基本原理 27 3.2 16QAM产生的方法 27 3.3 16QAM信号的解调方法
  3. 所属分类:嵌入式

    • 发布日期:2009-11-09
    • 文件大小:1048576
    • 提供者:hefeiyan
  1. 2PSK信号发生器的设计

  2.  FPGA具有可编程逻辑器件现场可编程的灵活性,又具有门陈列器件功能强、高集成度和高速度的优点,因此在要求功能越来越强,体积越来越小,功耗越来越低的现代通信系统设计中被越来越广泛的应用。本文讨论数字通信中2PSK,2FSK信号产生器的FPGA设计与实现。 从以下五个部分进行了介绍: 一. 总体方案的介绍 二. M序列的产生 三. 2PSK的调制原理方法及结果 四. 2PSK的解调原理方法及结果 五. 调试及结果
  3. 所属分类:硬件开发

    • 发布日期:2010-07-09
    • 文件大小:1048576
    • 提供者:wang851434959
  1. 2FSK的数字基带传输系统仿真

  2. 设计一个2FSK数字调制系统。完成对2FSK的调制与解调仿真电路的设计,并对仿真结果进行分析。理解2FSK信号的产生,掌握2FSK的调制原理和实现方法
  3. 所属分类:嵌入式

    • 发布日期:2010-09-21
    • 文件大小:218112
    • 提供者:xuxiangbin107
  1. 现代通信原理与技术简明教程

  2. 第一部分 现代通信原理与技术   第1章 结论   1.1 通信的基本概念   1.1.1 通信的定义   1.1.2 通信的分类   1.1.3 通信方式   1.2 通信系统的组成及主要性能指标   1.2.1 模拟通信系统   1.2.2 数字通信系统   1.2.3 通信系统的主要性能指标   1.3 通信技术发展概况   1.3.1 通信发展简史   1.3.2 通信技术的现状和发展趋势   1.4 小结   1.5 习题   第2章 模拟调制技术   2.1 幅度调制的原理   2
  3. 所属分类:其它

    • 发布日期:2012-07-04
    • 文件大小:4194304
    • 提供者:lihaipeng_2009
  1. 调制与解调仿真

  2. 2ASK,2FSK,2PSK的调制解调。 2ASK信号调制的模型方框图由DSP模块中的sinwave信号源、方波信号源、相乘器等模块组成。2FSK信号是由频率分别为f1和f2的两个载波对信号源进行频率上的控制而形成的,其中f1和f2是两个频率有明显差别的且都远大于信号源频率的载波信号。在二进制数字调制中,当正弦载波的相位随二进制数字基带信号离散变化时,则产生二进制移相键控(2PSK)信号. 在此用已调信号载波的 0°和 180°分别表示二进制数字基带信号的 1 和 0.用两个反相的载波信号进行
  3. 所属分类:电信

    • 发布日期:2013-04-09
    • 文件大小:225280
    • 提供者:u010117472
  1. 数字系统设计(如:音乐发生器等)实例

  2. 里面含有数字系统设计实例,例如: 1. 半整数分频器的设计 2. 音乐发生器 3. 2FSK/2PSK信号产生器 4. 实用多功能电子表 5. 交通灯控制器 6. 数字频率计
  3. 所属分类:交通

    • 发布日期:2008-10-10
    • 文件大小:611328
    • 提供者:dqjyong
  1. 现代通信原理作业答案_曹志刚版

  2. 有通信原理试题库和部分书后习题 XXX级本科《通信原理》试题(卷) 题 号 1 2 3 4 5 6 7 8 9 总分 分 数 说明:答案要求简明扼要,全部做在考试题(卷)上。 一、 (20分)填 空 1、 数字通信系统的主要优点是 __________ 、_____________、 ________________、________________。 2、 通信系统的主要质量指标通常用_________和________衡量, FSK系统指标具体用______ _和_ _______衡量,F
  3. 所属分类:C

    • 发布日期:2009-03-16
    • 文件大小:2097152
    • 提供者:qq_34963154
  1. 2fsk-2psk 调制解调 fpga源码

  2. 数字频率调制也称移频键控,即FSK(Frequency Shift Keying),二进制移频键控称作 2FSK,2FSK是用两个不同频率载波来表示二进制数字码。因此,2FSK信号便是0符号对应于载频ω1,而1符号对应于载频ω2(与ω1不同的另一载频)的已调波形,而且ω1与ω2之间的改变是瞬间完成的。容易想到,2FSK信号可利用一个矩形脉冲序列对一个载波进行调频而获得。这正是频率键控通信方式早期采用的实现方法,也是利用模拟调频法实现数字调频的方法。2FSK信号的另一产生方法便是采用键控法,即利
  3. 所属分类:其它

    • 发布日期:2018-02-07
    • 文件大小:1048576
    • 提供者:hbxgwjl
  1. 频移键控调制解调系统仿真实验.doc

  2. 本文档的主要内容是4FSK调制解调系统仿真实验   一、 实验目的 1、 了解熟悉System View仿真软件使用 2、 掌握4进制频移键控(4FSK)的调制与解调基本原理 3、 掌握System View仿真软件仿真4FSK的系统设计   一、 实习仪器 System View仿真软件   二、 实验内容 1. 4FSk的调制原理 随着时代的发展,数字信号在信号传输比模拟信号有许多的优越性,数字信号传输也越来越重要。虽然近距离传输可以由数字基带信号直接传输,但是要进行远距离传输时必须将基带
  3. 所属分类:其它

    • 发布日期:2019-07-23
    • 文件大小:271360
    • 提供者:weixin_39840387
  1. FSK调制解调系统在matlab下的仿真实现

  2. 本文对数字调制中的2FSK采用matlab进行了仿真实验,代码中没有加入噪声,采用相干解调的解调方式。 (一)、代码的流程如下: (1)、设置载波频率,码元频率(本文中即比特率)和采样率; (2)、产生2FSK信号; (3)、信号分别经过两个带通滤波器后得到band_passed_sig1和band_passed_sig2; (4)、对band_passed_sig1和band_passed_sig2分别进行相干解调,再分别进行低通滤波得到lower_sig1和lower_sig2; (5)、对
  3. 所属分类:电信

    • 发布日期:2019-10-31
    • 文件大小:2048
    • 提供者:joseling
  1. 2FSK调制(二进制数字频率调制)电路仿真

  2. 本电路利用移频键控法,由信号源产生不同的载频频率作为两个不同频率的载频信号,即为相位不同的数字调频信号,由基带信号对不同频率的载波信号进行选择。通过proteus软件对分析过程进行仿真,清楚的展现2FSK数字频带传输系统的结构组成和传输特性。
  3. 所属分类:硬件开发

    • 发布日期:2020-08-24
    • 文件大小:14336
    • 提供者:qq_41729482
  1. BPSK.zip(matlab仿真文件及说明)

  2. 本文对数字调制中的BPSK采用matlab进行了仿真实验,代码中没有加入噪声,采用相干解调的解调方式。 (一)、代码的流程如下: (1)、设置载波频率,码元频率(本文中即比特率)和采样率; (2)、产生2FSK信号; (3)、信号分别经过两个带通滤波器后得到band_passed_sig1和band_passed_sig2; (4)、对band_passed_sig1和band_passed_sig2分别进行相干解调,再分别进行低通滤波得到lower_sig1和lower_sig2; (5)、对
  3. 所属分类:讲义

    • 发布日期:2020-09-26
    • 文件大小:4096
    • 提供者:wang201938
  1. QPSK.zip(MATLAB仿真文件和说明)

  2. 本文对数字调制中的QPSK采用matlab进行了仿真实验,代码中没有加入噪声,采用相干解调的解调方式。 (一)、代码的流程如下: (1)、设置载波频率,码元频率(本文中即比特率)和采样率; (2)、产生2FSK信号; (3)、信号分别经过两个带通滤波器后得到band_passed_sig1和band_passed_sig2; (4)、对band_passed_sig1和band_passed_sig2分别进行相干解调,再分别进行低通滤波得到lower_sig1和lower_sig2; (5)、对
  3. 所属分类:讲义

    • 发布日期:2020-09-26
    • 文件大小:5120
    • 提供者:wang201938
  1. 数据转换/信号处理中的基于FPGA的相位连续的2FSK信号的设计与实现

  2. 摘要:传统的2FSK调制方法产生的信号频率稳定度不高或容易产生过多杂波,为了克服这两种不足,在传统调制方法的基础上,基于FPGA芯片,采用分频与波形生成分开的方法和DDS技术,设计了一种既能保持相位始终连续.两种频率直接切换.频率稳定度高的数字式2FSK调制方法.经过实际电路验证,能够有效避免杂波产生,提高信号传输的稳定性和识别率.   0 引言   数字信号的传输是数字通信系统设计中的关键一环.数字基带信号往往难以在远距离的信道中直接传输,因此需要在信号发送端用数字基带信号控制载波,把数字
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:229376
    • 提供者:weixin_38735119
  1. 数字信号处理分析.rar

  2. 通过MALTAB中的GUI制作了一个界面。主要功能包括产生数字信号(2ASK、4ASK、2FSK、4FSK、8FSK、2PSK、4PSK、8PSK等)、读取wav文件,画信号的功率谱、频谱、语图,计算信号的瞬时参数(瞬时幅度、瞬时相位、瞬时频率),画信号的矢量图(星座图)、循环自相关,小波变换与小波分解,信号载波频率、带宽、码元速率估计,调制方式识别等。
  3. 所属分类:电信

    • 发布日期:2021-02-01
    • 文件大小:97280
    • 提供者:weixin_42305982
  1. 数字调制信号产生2FSK、2PSK、2ASK

  2. 利用MATLAB产生数字调制信号,包括2FSK、4FSK、2PSK 、2ASK。采样率、载波频率、产生符号数量等参数均可调整。可以进行矩形成形或余弦成型。
  3. 所属分类:电信

    • 发布日期:2021-01-21
    • 文件大小:5120
    • 提供者:weixin_42305982
  1. 数字信号2FSK信号产生

  2. 通过MATLAB产生2FSK信号。采样率、载波频率、产生符号数量等参数均可调整。有成型滤波和不带成型滤波两种情况。
  3. 所属分类:电信

    • 发布日期:2021-01-21
    • 文件大小:2048
    • 提供者:weixin_42305982