您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字时钟课程设计,用到振荡器;分频器;计数器;译码器;显示管等仪器,要的来下。

  2. 数字时钟课程设计,用到振荡器;分频器;计数器;译码器;显示管等仪器,要的来下。
  3. 所属分类:专业指导

    • 发布日期:2009-05-05
    • 文件大小:536576
    • 提供者:ysamj
  1. 分频器的VHDL描述

  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。 介绍分频器的VHDL描述,在源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频。
  3. 所属分类:专业指导

  1. EDA数字分频器 EDA的分频设计

  2. EDA的分频设计 1. 四位十进制数字频率计; 2. 测量范围:1Hz~10kHz; 3. 显示时间不少于1S; 4. 具有记忆显示的功能,即在测量过程中不刷新数据,等结束后才显示测量结果,给出待测信号的频率值,并保存到下一次测量结束。
  3. 所属分类:专业指导

    • 发布日期:2009-05-29
    • 文件大小:220160
    • 提供者:ZXBzhangxiaobo
  1. VHDL语言编写的数字分频器

  2. 用VHDL语言编写的数字分频器,已经过Quartus 5.0仿真调试。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-03
    • 文件大小:978
    • 提供者:qq625635824
  1. 数字分频器的VerilogHDL设计实现

  2. 数字分频器的设计,包括VerilogHDL设计实现,以及仿真波形
  3. 所属分类:嵌入式

    • 发布日期:2010-03-16
    • 文件大小:48128
    • 提供者:gaolinghong
  1. 数字分频与扬声器管理系统

  2. 介绍了分频器的发展历程,详细介绍IIR数字滤波器、FIR数字滤波器构建的数字分频器的优缺点,为专业人员在 构建音响系统中选择合适的分频器及扬声器管理系统提供参考
  3. 所属分类:其它

    • 发布日期:2011-08-26
    • 文件大小:2097152
    • 提供者:hjlin08te
  1. 数字电路实验 可编程分频器

  2. 可编程分频器
  3. 所属分类:其它

    • 发布日期:2011-12-24
    • 文件大小:33792
    • 提供者:lixiaohu215
  1. 数字信号分频器与激励文件的verilog代码

  2. 数字信号分频器与激励文件的verilog代码
  3. 所属分类:硬件开发

    • 发布日期:2016-01-06
    • 文件大小:2048
    • 提供者:qq_19857117
  1. 北邮数字电路实验三 分频器 计数器

  2. 北邮数电实验报告三 分频器 计数器 VHDL
  3. 所属分类:嵌入式

    • 发布日期:2016-10-24
    • 文件大小:322560
    • 提供者:qq_36500224
  1. 论文:基于VHDL的数控分频器设计及应用

  2. 数控分频器的输出信号频率为输入数据的函数。用传统的方法设计 ,其设计过程和电路都比较复杂 ,且设计成 果的可修改性和可移植性都较差。基于 VHDL 的数控分频器设计 ,整个过程简单、 快捷 ,极易修改 ,可移植性强。他可利用 并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、 乐器等数字电子系统中。
  3. 所属分类:专业指导

    • 发布日期:2008-11-29
    • 文件大小:204800
    • 提供者:laviequev
  1. 计数器是数字系统中用得较多的基本逻辑器件。它不仅能记录输入时钟脉冲的个数,还可以实现分频、定时、产生节拍脉冲和脉冲序列等。例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。

  2. 计数器是数字系统中用得较多的基本逻辑器件。它不仅能记录输入时钟脉冲的个数,还可以实现分频、定时、产生节拍脉冲和脉冲序列等。例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。
  3. 所属分类:专业指导

    • 发布日期:2009-04-07
    • 文件大小:320512
    • 提供者:pgy616
  1. 奇偶分频器设计源码及testbench

  2. 基于Verilog的奇偶分频器设计源码及对应的testbench,供大家一起学习。
  3. 所属分类:硬件开发

    • 发布日期:2018-08-03
    • 文件大小:924
    • 提供者:qq_31799983
  1. 任意分频器

  2. 通过设计任意分频器,学习较复杂的数字系统的设计方法。通过设计任意分频器,掌握电路中人工生成分频时钟设计方法.分频器工作时分析分频出来的信号,掌握时钟信号的使用注意事项。完成任意分频器功能,通过端口输入分频系数,按照分频系数的值作信号分频,可以实现奇数分频和偶数分频两种分频方法
  3. 所属分类:硬件开发

    • 发布日期:2018-03-01
    • 文件大小:388096
    • 提供者:qiaoningning
  1. verilog实现分频器.docx

  2. 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。  早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器。 下面以Verilog HDL 语言为基础介绍奇偶分频的分频器。
  3. 所属分类:硬件开发

    • 发布日期:2020-04-22
    • 文件大小:36864
    • 提供者:syrg520
  1. 基于CPLD/FPGA的半整数分频器的设计实例

  2. 在数字逻辑电路设计中,分频器是一种基本电路。通常用来对某个给定频率进行分频,以得到所需的频率。整数分频器的实现非常简单,可采用标准的计数器,也可以采用可编程逻辑器件设计实现。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:104448
    • 提供者:weixin_38589150
  1. 应用于频率合成器的宽分频比CMOS可编程分频器设计

  2. 提出一种应用于射频频率合成器的宽分频比可编程分频器设计。该分频器采用脉冲吞吐结构,可编程计数器和吞脉冲计数器都采用改进的CMOS源极耦合(SCL)逻辑结构的模拟电路实现,相对于采用数字电路实现降低了电路的噪声和减少了版图面积。同时,对可编程分频器中的检测和置数逻辑做了改进,提高分频器的工作频率及稳定性。最后,采用TSMC的0.13/μmCMOS工艺,利用CadenceSpectre工具进行仿真,在4.5GHz频率下,该分频器可实现200~515的分频比,整个功耗不超过19mW,版图面积为106μ
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:252928
    • 提供者:weixin_38719564
  1. 基于FPGA的小数分频器的实现

  2. 本文利用VerilogHDL硬件描述语言的设计方式,通过ModelSimSE开发软件进行仿真,设计基于FPGA的双模前置小数分频器。随着超大规模集成电路的发展,利用FPGA小数分频合成技术解决了单环数字频率合成器中高鉴相频率与小频间隔之间的矛盾。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:143360
    • 提供者:weixin_38517105
  1. EDA/PLD中的应用于倍频电路的预置可逆分频器设计

  2. 摘要:首先分析了应用于倍频电路的预置可逆分频器的工作原理,推导了触发器的驱动函数。   并建立了基于simulink 和FPGA 的分频器模型,实验结果表明分频器可以实现预置模和可逆分频功能,满足倍频电路需要。   1. 前言   锁相环是倍频电路的主要实现方式,直接决定倍频的成败。传统的锁相环各个部件都是由模拟电路实现的,随着数字技术的发展,全数字锁相环逐步发展起来,全数字锁相环的环路部件全部数字化,通常由数字鉴相器、数字环路滤波器、压控振荡器以及分频器组成,全数字锁相环中的分频器要求模
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:620544
    • 提供者:weixin_38705640
  1. 电子测量中的10~37 GHz CMOS四分频器的设计

  2. 1 引言   随着通信技术的迅猛发展,人们对通信系统中单元电路的研究也越来越多。而分频器广泛应用于光纤通信和射频通信系统中,因此,高速分频器的研究也日益受到关注。分频器按实现方式可分为模拟和数字两种。模拟分频器可由注入锁定等结构实现,一般具有工作频率高、功耗低等优点,但是分频范围较小,芯片面积较大。数字分频器基于触发器结构,一般分频范围较宽,芯片面积较小,但相对于模拟分频器其工作频率较低,功耗较大。这里采用UMC 0.13 um的CMOS工艺(其特征频率fT约100 GHz),在电源电压为1.
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:191488
    • 提供者:weixin_38562085
  1. 10~37 GHz CMOS四分频器的设计

  2. 1 引言   随着通信技术的迅猛发展,人们对通信系统中单元电路的研究也越来越多。而分频器广泛应用于光纤通信和射频通信系统中,因此,高速分频器的研究也日益受到关注。分频器按实现方式可分为模拟和数字两种。模拟分频器可由注入锁定等结构实现,一般具有工作频率高、功耗低等优点,但是分频范围较小,芯片面积较大。数字分频器基于触发器结构,一般分频范围较宽,芯片面积较小,但相对于模拟分频器其工作频率较低,功耗较大。这里采用UMC 0.13 um的CMOS工艺(其特征频率fT约100 GHz),在电源电压为1.
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:267264
    • 提供者:weixin_38653878
« 12 3 4 5 6 7 8 9 10 ... 29 »