您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字钟单片机程序(含校时功能)

  2. 单片机数字中设计,分为BCD转换,显示,按键调时等部分,已经通过验证,走时准确
  3. 所属分类:硬件开发

    • 发布日期:2009-05-13
    • 文件大小:202752
    • 提供者:taotao1121
  1. EDA数字钟设计(报告+ppt)

  2. EDA数字钟设计,有报告和ppt,程序是没有问题的啊
  3. 所属分类:专业指导

    • 发布日期:2009-05-14
    • 文件大小:312320
    • 提供者:duncan01
  1. 基于FPGA的数字钟设计报告

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用QuartusII软件进行电路波形仿真,下载到EDA实验箱进行验证。该设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VH
  3. 所属分类:硬件开发

    • 发布日期:2009-06-10
    • 文件大小:540672
    • 提供者:shiyun123
  1. 8位数字钟设计和PROTEUS仿真电路

  2. 8位数字钟设计,可以显示时,分,秒。还可以校时,校分,校秒。里面有完整的汇编程序各C语言程序。还有PROTEUS仿真电路图,有很大的实用和参考价值!!!!!!!
  3. 所属分类:嵌入式

    • 发布日期:2009-06-14
    • 文件大小:65536
    • 提供者:kk_tt
  1. EDA交通灯、数字钟设计

  2. 这是用MAX-II软件,VHDL语言编写的交通灯及数字钟程序,同时还附有设计报告。
  3. 所属分类:交通

    • 发布日期:2009-07-02
    • 文件大小:482304
    • 提供者:runmon
  1. 单片机课程设计---数字钟设计

  2. 1 引言……………………………………………………………………………… 1 1.1单片机的应用领域………………………………………………………………1 1.1.1 数字钟的介绍…………………………………………………………………1 2 硬件系统设计 ……………………………………………………………………1 2.1 电路组成及工作原理 …………………………………………………………1 2.2 时钟电路……………………………………………………………………… 2 2.3复位电路…………………………………………
  3. 所属分类:硬件开发

    • 发布日期:2009-07-04
    • 文件大小:120832
    • 提供者:qwb520012
  1. 单片机数字钟设计和原理图合系统框图

  2. 单片机数字钟设计 单片机数字钟设计,原理图,系统框图,程序
  3. 所属分类:硬件开发

    • 发布日期:2010-01-25
    • 文件大小:558080
    • 提供者:yangdaiwen3
  1. EDA课程设计(数字钟)

  2. 一份我个人写的基于VHDL语言的课程设计,关于数字钟的,仅供参考! 数字钟的功能:清零,计时,调时(校准时间),整点报时,定时闹铃。 压缩文件内容: 1.课程设计报告; 2.课程设计封面; 3.数字钟的程序。
  3. 所属分类:专业指导

    • 发布日期:2010-03-12
    • 文件大小:920576
    • 提供者:KingWTD
  1. 多功能数字时钟的设计程序

  2. 基于单片机的多功能数字钟的程序,有计时与定时功能.
  3. 所属分类:硬件开发

    • 发布日期:2010-04-18
    • 文件大小:112640
    • 提供者:limomo0613
  1. EDA数字钟设计程序(VHDL)

  2. 利用EDA试验箱设计的数字钟设计程序,提供给能用到的朋友!
  3. 所属分类:专业指导

    • 发布日期:2010-04-25
    • 文件大小:38912
    • 提供者:zhoushaohua1987
  1. 基于单片机的数字钟设计

  2. 基于单片机的数字钟设计,不错的资源,包含了原理图、程序和仿真,有需要的可以下载看看!
  3. 所属分类:硬件开发

    • 发布日期:2010-06-29
    • 文件大小:36864
    • 提供者:hlmbaby
  1. vhdl数字钟的设计

  2. 摘 要 4 Abstract 5 第一章 电子设计自动化(EDA)发展概述 6 1.1什么是电子设计自动化(EDA) 6 1.2 EDA的发展历史 6 第二章VHDL简介 8 2.1 硬件描述语言VHDL 8 2.2 VHDL的组成 8 2.3 程序包(Package) 8 2.4 库(Library) 9 2.5 VHDL运算符 9 2.6 VHDL数据对象 9 2.7 VHDL常用语句 10 2.8 元件声明及元件例化 10 2.9 配置(Configuration) 11 2.10子程序
  3. 所属分类:嵌入式

    • 发布日期:2010-08-07
    • 文件大小:201728
    • 提供者:feiyue165
  1. 基于AT89C52单片机的数字钟设计程序

  2. DISBEG EQU 30H ;显示单元首地址 CALB EQU P1.7 ;报警喇叭 TIMCON EQU 2FH ;存放报时次数 ORG 0000H ;程序开始 LJMP MAIN ORG 0003H ;关外中断0 RETI ORG 000BH ;定时器T0中断程序入口 LJMP INTT0 ;跳至INTTO执行 ORG 0013H ;关中断1 RETI ORG 001BH ;定时器T1中断程序入口 LJMP INTT1 ;跳至INTT1执行 ORG 0023H ;关串行中断 RETI T
  3. 所属分类:C

    • 发布日期:2010-12-10
    • 文件大小:982
    • 提供者:fengyunxiaocai
  1. VHDL多功能数字钟设计

  2. 用VHDL 编写的多功能数字钟设计 包含 过程 程序 以及仿真结果
  3. 所属分类:专业指导

    • 发布日期:2011-06-07
    • 文件大小:181248
    • 提供者:zzm3999
  1. 数字钟设计 全套包论文

  2. 二、设计要求 基于MCS-51单片机设计出一能实现24小时制时间显示,并可随时进行时间校对调整,整点报时及闹钟功能的数字钟。并绘制出电路图,编写出程序,能够实验仿真。
  3. 所属分类:C/C++

    • 发布日期:2011-12-18
    • 文件大小:376832
    • 提供者:z0456
  1. 单片机数字钟设计报告

  2. 单片机数字钟设计报告,附带程序流程图,设计原理,设计内容,可以直接上交得
  3. 所属分类:C/C++

    • 发布日期:2012-07-10
    • 文件大小:246784
    • 提供者:luojunshan
  1. 单片机数字钟万年历程序

  2. 51单片机数字钟设计,能精确定时,可以自由设定天气,日期等
  3. 所属分类:C

    • 发布日期:2013-03-28
    • 文件大小:10240
    • 提供者:henrylong32
  1. EDA实验 数字钟 设计程序,已通过 自己编写,好懂,分模块,可参考,可直接拿去其他模块

  2. EDA实验 数字钟 设计程序,已通过 自己编写,好懂,分模块,可参考,可直接拿去其他模块
  3. 所属分类:C/C++

    • 发布日期:2014-12-11
    • 文件大小:1048576
    • 提供者:baidu_24130311
  1. EDA_数字钟设计之顶层程序

  2. 数字钟设计,内附顶层原理程序,好东西哦,适合初学者
  3. 所属分类:专业指导

    • 发布日期:2009-01-06
    • 文件大小:2048
    • 提供者:tmac1988
  1. 基于FPGA多功能的数字钟设计

  2. 该程序是基于FPGA多功能的数字钟设计,具备了常用的功能,比如时分秒的记时,还有闹钟
  3. 所属分类:硬件开发

    • 发布日期:2009-03-01
    • 文件大小:540672
    • 提供者:gtt18765901948
« 12 3 4 5 6 7 8 9 10 »