您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. vhdl试验(全加器、频率计、计数器、优先编码器、触发器、状态机、数据选择器、数码管扫描)

  2. vhdl试验(全加器、频率计、计数器、优先编码器、触发器、状态机、数据选择器、数码管扫描)
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:889856
    • 提供者:mbstorm
  1. EDA技术数据选择器电路设计

  2. 1、数据选择器的工作原理。 2、基于FPGA和EDA软件的数字电路设计方法与工作流程 3、使用VHDL设计数据选择器的方法。 4、VHDL相关语法知识 5、EDA实验开发系统相关知识。 6、在EDA软件( Max+PlusII软件)平台上VHDL程序的功能仿真的方法。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-25
    • 文件大小:616448
    • 提供者:s617015380
  1. 四选一数据选择器和基本触发器的设计

  2. 组成原理 课程设计报告 四选一数据选择器和基本触发器的设计
  3. 所属分类:专业指导

    • 发布日期:2009-06-19
    • 文件大小:237568
    • 提供者:yykable
  1. vhdl语言:八选一数据选择器

  2. 八选一数据选择器的vhdl语言,完整的程序,包括结构体,实体等
  3. 所属分类:专业指导

    • 发布日期:2009-12-16
    • 文件大小:31744
    • 提供者:shaguahansi
  1. 八选一数据选择器基于VHDL语言编写

  2. 八选一数据选择器基于VHDL语言编写 八选一数据选择器基于VHDL语言编写
  3. 所属分类:专业指导

    • 发布日期:2010-06-04
    • 文件大小:104448
    • 提供者:swp0314
  1. 电子技术基础 数据选择器和译码器

  2. 电子技术基础 数据选择器和译码器 电子技术基础实验课
  3. 所属分类:专业指导

    • 发布日期:2010-07-15
    • 文件大小:1048576
    • 提供者:fuji116116
  1. verlog八路数据选择器(含测试代码)

  2. 八路数据选择器的verlog实现,其中包含了测试代码!
  3. 所属分类:C/C++

    • 发布日期:2010-10-27
    • 文件大小:994
    • 提供者:Cattish
  1. 八选一数据选择器报告

  2. 八选一数据选择器报告包括了Verilog HDL 代码,输出值,输出波形,心得体会等。
  3. 所属分类:专业指导

    • 发布日期:2010-11-04
    • 文件大小:58368
    • 提供者:BWL0123456789
  1. 数据选择器 数字逻辑

  2. 数据选择器 数据选择器 数据选择器 数字逻辑 数字逻辑
  3. 所属分类:专业指导

    • 发布日期:2010-12-11
    • 文件大小:506880
    • 提供者:xiaochun2012
  1. EDA实验报告 4选1数据选择器的实现

  2. 4选1数据选择器的逻辑符号如图1所示,其功能见表1。由表1可知,数据选择器在控制输入信号s1和s2的控制下,使输入数据信号a,b,c,d中的一个被选中传送到输出。s1和s2有4种组合值,由此可用CASE语句和IF语句来实现其功能。
  3. 所属分类:专业指导

    • 发布日期:2011-05-19
    • 文件大小:114688
    • 提供者:xiancc
  1. 四位比较器和八选一数据选择器

  2. 四位比较器和八选一数据选择器实验报告,图形及图形分析
  3. 所属分类:软件测试

    • 发布日期:2011-11-28
    • 文件大小:197632
    • 提供者:tyn243222791
  1. 4选1数据选择器设计

  2. 基于FPGA的数据选择器 适合VHDL初学者 内涵数据选择器详细内容
  3. 所属分类:嵌入式

    • 发布日期:2013-03-25
    • 文件大小:194560
    • 提供者:day_lucky
  1. 实验四、译码器和数据选择器

  2. 关于译码器和数据选择器的实验课程 着重三八译码器和四选一、双四选一的数据选择器实际应用
  3. 所属分类:专业指导

    • 发布日期:2013-03-31
    • 文件大小:475136
    • 提供者:guangming153
  1. 数据选择器

  2. (1) 了解EDA技术的发展及应用 (2) 掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计 (3) 学习MAX+PLUSⅡ软件的应用方法 (4) 应用EDA技术的设计方法完成4选1数据选择器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUSⅡ上仿真
  3. 所属分类:其它

    • 发布日期:2013-11-09
    • 文件大小:169984
    • 提供者:u012779696
  1. 数据选择器,电子开关,电源分册+258页+5[1].5M.pdf

  2. 数据选择器,电子开关,电源分册+258页+5[1].5M.pdf
  3. 所属分类:硬件开发

    • 发布日期:2014-07-07
    • 文件大小:5242880
    • 提供者:lixiaoxu186
  1. 滚筒式数据选择器,可以多列

  2. 滚筒式数据选择器,可以多列,适用于3列及以下组合式数据选择,dialog类型,要用多列可以改源码
  3. 所属分类:Android

    • 发布日期:2016-01-04
    • 文件大小:2097152
    • 提供者:u012587005
  1. Verilog VDL编写的四选一数据选择器报告

  2. Verilog VDL编写的四选一数据选择器报告,第一次使用Quartus 2,步骤详细,里面有附源代码。
  3. 所属分类:嵌入式

    • 发布日期:2017-05-18
    • 文件大小:266240
    • 提供者:qq_36319600
  1. 用Multisim 仿真分析数据选择器的工作过程

  2. 介绍用Multisim 仿真软件分析数据选择器工作过程的方法, 即用Multisim 仿真软件中的字组产生器产生数据选择器的各个数据输入信号, 字组产生器的字组内容反映数据选择器不同数据输入端的输入情况, 用Multisim 中逻辑分析仪多踪同步显示数据选择器的各个数据输入信号及输出信号波形, 可直观描述数据选择器的数据选择的工作过程。所述方法的创新点是解决了数据选择器的工作波形无法用电子实验仪器进行分析验证的问题。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:344064
    • 提供者:weixin_38727798
  1. 基于数据选择器和D触发器的多输入时序电路设计

  2. 本文给出多输入变量时序逻辑网络的一种新型结构:将D触发器和数据选择器进行组合,构成既有存储功能又有数据选择功能的多输入时序网络,并给出设计过程中不需要进行函数化简的设计技术。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:241664
    • 提供者:weixin_38752282
  1. 基于数据选择器和D触发器的多输入时序电路设计

  2. 在SSI时序逻辑电路设计中,遵循的设计准则是:在保证所设计的时序逻辑电路具有正确功能的前提下,触发器的激励函数应最小化,从而简化电路结构。用卡诺图法或公式法化简触发器的激励函数,在多输入变量时相当繁琐甚至难以进行。因此,需要寻求多输入时序逻辑电路简捷设计方法。本文给出多输入变量时序逻辑网络的一种新型结构:将D触发器和数据选择器进行组合,构成既有存储功能又有数据选择功能的多输入时序网络,并给出设计过程中不需要进行函数化简的设计技术。   1 基本原理   1.1 基本多输入时序网络   1.
  3. 所属分类:其它

    • 发布日期:2020-11-06
    • 文件大小:229376
    • 提供者:weixin_38692043
« 12 3 4 5 6 7 8 9 10 ... 50 »