您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA 小实验程序全加器 ,数据选择器等等十个

  2. 带有详细实验内容如利用EWB软件设计一个“计数、译码、显示”电路,要求用集成电路芯片完成,计数器为12进制;显示用七段数码管。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-18
    • 文件大小:118784
    • 提供者:rhfbipt
  1. 史上超高压缩软件2009

  2. -------------------------------------------------------------------------------------- 最新更新情况: 0.260 新内核的正式版,也是UDA的第三个正式版.对新内核进行了极大的优化,使压缩率/时间比 比上一个正式版有了质的飞跃,默认压缩模式0的压缩率已经完全战胜UHARC,速度比原来 的模式3仅慢了不到10%.并大大减轻高模式的内存占用过多耗时过多的问题. 比较上一版本(0.250),速度有了10 %的提升
  3. 所属分类:C

    • 发布日期:2009-09-04
    • 文件大小:20480
    • 提供者:alanisyourbaby
  1. VB编程资源大全(源码 其它3)

  2. 556,delay1.zip 源码设计中的延时功能(1KB)557,type_1.zip 趣味打字2.1(233KB)558,test1.zip asp编写动态网页计数器(1KB)559,hztosm.zip 汉字转声母完全源代码(90KB)560,formatfloppy.zip 格式化 软盘源代码(13KB)561,freespace.zip 获取磁盘剩余空间(3KB)562,setscreen 设置屏幕分辨率(7KB)563,snapwindow.zip 一个抓图的小程序(14KB)56
  3. 所属分类:VB

    • 发布日期:2007-10-18
    • 文件大小:5242880
    • 提供者:zhangxucool
  1. VB编程资源大全(源码 其它4)

  2. 556,delay1.zip 源码设计中的延时功能(1KB)557,type_1.zip 趣味打字2.1(233KB)558,test1.zip asp编写动态网页计数器(1KB)559,hztosm.zip 汉字转声母完全源代码(90KB)560,formatfloppy.zip 格式化 软盘源代码(13KB)561,freespace.zip 获取磁盘剩余空间(3KB)562,setscreen 设置屏幕分辨率(7KB)563,snapwindow.zip 一个抓图的小程序(14KB)56
  3. 所属分类:VB

    • 发布日期:2007-10-18
    • 文件大小:5242880
    • 提供者:zhangxucool
  1. IntelHex S-Record 文件编辑转换器 V0.5.1

  2. 此为V0.5.1版,修正几个Bug。 0.5.1:修正块另存时新建文件保存失败的Bug。 0.5:新增文件内、文件间块移动、复制、交换、另存等功能 0.4:新增转换为二进制后比较功能 本人继MCUTool以后的另外一个单片机开发实用工具软件,用于单片机调试过程中简单的参量修改,省略修改源代码、再编译的过程,直接修改目标文件。尤其是对eeprom数据的修改、调整更为方便实用。 本软件编写的目的是用来编辑存放于单片机 Flash 或 EEPROM 中的数据,支持 Intel Hex 格式以及 Mo
  3. 所属分类:硬件开发

    • 发布日期:2009-10-14
    • 文件大小:612352
    • 提供者:qd007
  1. 鸿威台球计费软件操作说明书

  2. 鸿威台球计费系统全新改版,独特的计费+管理功能,免费超值体验! 会员档案管理 鸿威台球计费系统会员档案管理模块,办理会员卡的时候可以支持摄像头拍照,录入会员档案,以便日后比对会员信息。支持会员打折,会员积分,会员挂失,会员短信发送平台,还能查看会员消费记录。支持磁卡、IC卡、ID卡等刷卡,软件更安全更方便,系统的会员卡管理独具特色。 鸿威的台球计费管理系统会员还可以通过比赛奖励储值和比赛奖励积分。都是无需消费直接存入会员卡的。鸿威还支持首次办卡的办卡费设置,首次存款最低存款额,每次充值的最低限
  3. 所属分类:专业指导

    • 发布日期:2012-07-07
    • 文件大小:2097152
    • 提供者:ytjifei147
  1. arcgis工具

  2. arcgis工具总结 1. 要素的剪切与延伸 实用工具 TASK 任务栏 Extend/Trim feature 剪切所得内容与你画线的方向有关。 2. 自动捕捉跟踪工具 点击Editor工具栏中Snapping来打开Snapping Environment对话框 捕捉设置中有3个选项, vertex edge end 分别是节点、终点、和边,选择end应该会捕捉端点 3. 图斑面积计算及长度计算 应用工具CALCULATE AREA 或者使用VBA代码实现 新建字段并开启Advanced 写
  3. 所属分类:数据库

    • 发布日期:2012-10-22
    • 文件大小:37888
    • 提供者:wu_xiujun
  1. windows用户称拦截api

  2. Windows用户层下拦截api的原理与实现(附源码) (2008-03-29 16:15:07)转载▼ 标签: computer 杂谈 声明:本页所发布的技术文章及其附件,供自由技术传播,拒绝商业使用。本页文章及其附件的所有权归属本文作者,任何使用文档中所介绍技术者对其后果自行负责,本文作者不对其承担任何责任。 Email:redcoder163.com 目录 1 摘要 2 win2000和xp的内存结构和进程地址空间 3 函数堆栈的一些知识 4 关于拦截的整体思路 5 附件代码下载以及说明
  3. 所属分类:Windows Server

    • 发布日期:2015-04-06
    • 文件大小:15360
    • 提供者:shiyiheng123555
  1. AS5040中文版.pdf

  2. AS5040全部研发资料,提供PWM输出以及SPI和ABZ接口,为微控制器提供绝对角度测量。在进行机械设计时无须考虑芯片初始零位和它 所使用磁铁磁极的精确配对,取而代之的方案是:零位可以通过软件编程使用简单的SPI命令(无需特殊的编程设备)即可编程进AS5040内部的存储器。As504010位可编程磁旋转编码器 austriamicrosystems cSn接逻辑高电平时,可将数据输出引脚(DO)置 引脚8(Pg月于在OTP内编程设置不同的增量 为三态,并终止串行数据传输。脚也用于对准模式 接口
  3. 所属分类:硬件开发

    • 发布日期:2019-07-16
    • 文件大小:891904
    • 提供者:cao_zuo_xi_tong
  1. DJCMS影视程序 v3.0.2011.05.6.rar

  2. 影视程序(DjCms) V2.0功能与特色列表: 01.支持所有主流FLV视频站及P2P 全面支持优酷,新浪,土豆,56,六间房,qq,youtube等flv资源,天线高清,新浪高清,土豆高清等高清flv采集!支持qvod【快播】,gvod【迅播】,pps,远古等高清资源,支持media,real,flv,swf等格式文件!更多支持的格式还在陆续增加中。 02.丰富的模板及强大易用的标签 独创的HTML形式的标签机制,使得做模板非常简单,只要你会HTML就可以制作精美的模板皮肤。程序模板多达1
  3. 所属分类:其它

    • 发布日期:2019-08-29
    • 文件大小:1048576
    • 提供者:weixin_38744435
  1. 西门子 BERO传感器详细产品资料.pdf

  2. 西门子 BERO传感器详细产品资料pdf,BERO系列接近开关是不含机械磨损部件的无触点式电子开关,其优异的性能确保在各种恶劣环境下的稳定、可靠和准确应用。使用 Q-Sense,可方便而快速实现直到传感器级 的工厂范围内的通讯 IQ Sense Q- Sense的突出特点 Q-Sense为将传感器智能地集成进自动化系·传感器和PLC之间的智能通讯 统中提供了一种全新的概念。正象集成到我·传感器的参数和诊断数据可以在整个工厂 们的全集成自动化平台中的所有产品和系统范围内获得 样, Q-Sense装
  3. 所属分类:其它

    • 发布日期:2019-10-13
    • 文件大小:10485760
    • 提供者:weixin_38744435
  1. ABB变频器 ACS510系列样本.pdf

  2. ABB变频器 ACS510系列样本pdf,ABB变频器 ACS510系列样本日录 ABB标准传动,ACS510 ABB标准传动… 容量,类型,电压及结构… 尺寸 叮选件… 6 助手型控制盘. 6 基本控制盘 …… 6 控制盘开孔尺寸 6 控制盘安装组件 控制盘安装组件丌孔尺寸 23456 如何选择可选件 7 du/d滤波器… 8 即插型可选件 9 制动单元和斩波器 10 技术数据 1垂面量主主面是是 电磁兼容… 冷却 1 熔断器连接….11 技术规范 12 控制连接 13 服务 14 8 www
  3. 所属分类:其它

    • 发布日期:2019-10-12
    • 文件大小:2097152
    • 提供者:weixin_38744270
  1. 6se70变频器调试与维修.pdf

  2. 6se70变频器调试与维修pdf,6se70变频器调试与维修交流电机;从内部看,他是一台经过变换的直流电机 可以看到在矢量控制中,定子电流被分解为互相垂直的两个分量iM,1,其中i用 以控制转子憾链,称为憾链分量,讧T1用」调节电机转矩,称为转知分量。因此,矢量控制 的最终结果就是实现了定子电流分解,分别进行转子磁链和电磁转矩的解藕控制。 关于功率、转矩、转速之间关系的推导如下: 功率=力*速度 P=F*V-公式1 转矩(T)扭力(F)作用半径(R-推出F-TR-公式2 线速度(V)=2πR*每
  3. 所属分类:其它

    • 发布日期:2019-10-12
    • 文件大小:222208
    • 提供者:weixin_38743481
  1. 光洋 DL205系列PLC模拟量模块手册.pdf

  2. 光洋 DL205系列PLC模拟量模块手册pdf,光洋 DL205系列PLC模拟量模块手册光洋电子(无锡)有限公司 DL205系列模拟量模块 2.一般规格 3.模拟量输出配置要求 64 4.特姝安装位置要求(仪DL230)… 5.现场接线 6.模块运行… 7.编写控制程序… 第六章F2-02DA-2,F2-02DA-2L2通道模拟量电压输出 74 1.输出规格… 2.一般规格 3.模拟量输出配置要求 76 4.特殊安装位置要求(仅DL230)… …76 5.设置模块的短接片 .77 6.电压范围和
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:2097152
    • 提供者:weixin_38744207
  1. S7-200宝典60问题解答.pdf

  2. S7-200宝典60问题解答pdf,S7-200宝典60问题解答10、S7-200程序扫措时间和程序大小有关系吗? 程序扫描时间与用户程序的人小成正比 《S7-20系统手册》中有每个指令所需执行时间的数据。实际上很难事先预先精确计算出程序扫描时间,特别是还没有开 始编程序时。 可以看出,常规的PLC处理模式不适合时间响应要求高的数字量信号。可能需要根据具体仁务采用一些特别的方法。 11、CPU224XP高速脉冲输出最快能达到多少? CPU224XP的高速脉冲输出Q0和Q0.1支持高达100K的频
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:192512
    • 提供者:weixin_38744375
  1. 基础推荐:如何快速入门单片机.pdf

  2. 基础推荐:如何快速入门单片机pdf,始编写的程序难兔岀现语法错误或其它不规范的峾句,由于κeiC编译时对错 误语句提示的是英文,不太好理解,若用汇编的话,可使用DS下的宏汇编编 译器AsM51:他可以对出错语句进行中文提示;你源程序的注释部分还可以使 用中文,这更便于你今后对程序的维护。编译出的代码一般扩展名为*hex或 bin:这个代码文件必须送到单片机中单片机在电路中才能按你的"计划"去工 作。将这个代码文件送到单片机中的工具就是编程器,与电脑迳接的编程器一般 都通过并∏或者串∏与编程器的硬
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:1048576
    • 提供者:weixin_38743481
  1. c-17-stl-cook-book.pdf

  2. 如果最近你阅读了本书中C++17的新特性,并使用前卫的编译器编译了这些代码,你可能会 在编译阶段遇到一些问题。因为你使用到的一些C++17 STL新特性可能还没有在编译器中进 行实现。使用迭代器实现算法 1.74 使用反向迭代适配器进行迭代 1.7.5 使用哨兵终止迭代 1.7.6 使用检查过的迭代器自动化检查迭代器代码 1.7.7 构建zjp迭代适配器 1.7.8 第4章 Lambda表达式 使用 Lambda表达式定义函数 1.8.1 使用 Lambda为std: function添加多态性
  3. 所属分类:C++

    • 发布日期:2019-07-14
    • 文件大小:10485760
    • 提供者:u012941603
  1. Modbus协议中文版【完整版】.pdf

  2. modbus通信协议中文完整书签版,三大部分,第一部分:Modbus 协议,第二部分:Modbus 协议在串行链路上的实现指南,第三部分:Modbus 协议在 TCP/IP 上的实现指南。×××一××× 地址域 功能码 数据 差错校验 图:通用 帧 启动 事务处理的客户机创建 应用数据单元。功能码向服务器指示将执行哪 种操作。 协议建立∫客户机启动的请求格式 用一个字节编码 数据单元的功能码域。有效的码字范围是十进制 为 异常响应保留)。当从客户机向服务器设备发送报文时,功能码域通知服务器执行哪
  3. 所属分类:嵌入式

    • 发布日期:2019-07-12
    • 文件大小:1048576
    • 提供者:u011251940
  1. Modbus协议资料 中文版.pdf

  2. Modbus协议资料 中文版,通俗易懂,是工控学习的第一手资料,新手必备!×××一××× 地址域 功能码 数据 差错校验 图:通用 帧 启动 事务处理的客户机创建 应用数据单元。功能码向服务器指示将执行哪 种操作。 协议建立∫客户机启动的请求格式 用一个字节编码 数据单元的功能码域。有效的码字范围是十进制 为 异常响应保留)。当从客户机向服务器设备发送报文时,功能码域通知服务器执行哪种操作。 向一些功能码加入子功能码来定义多项操作。 从客户机向服务器设备发送的报文数据域包括附加信息,服务器使用这
  3. 所属分类:硬件开发

    • 发布日期:2019-07-03
    • 文件大小:1048576
    • 提供者:qq_21207607
  1. 驿站论坛网 v2.1正式版

  2. 升级详情:V2.1版(2006年9月20日版)1.论坛用户头像增加至25个2.修正今日新贴和本周热贴的错误3.增加圣诞风格和秋天不回来风格4.修改论坛统计数据下的问候语为:本论坛的发展需要你的支持和信赖5.增加论坛ICP备案信息功能(在程序内直接修改)6.去掉论坛低部的内核字样和相关连接,一切为了我们的用户7.修正论坛统计数据下的时间显示错误8.修正论坛用户列表的错误9.修正论坛无法上传文件的错误10:修正社区十大富翁错误的问题11:修正宠物中心的所有问题12:取消注册20秒发贴的限制13:修正
  3. 所属分类:其它

    • 发布日期:2021-03-31
    • 文件大小:6291456
    • 提供者:weixin_38703980
« 12 3 »