您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 旁路电容的深度对话.pdf

  2. 通过一次关于基本知识的对话,让我们深入考察那没有什么魅力但是极其关键的旁路电容 和去耦电容。 编辑引言:旁路电容是关注度低、没有什么魅力的元器件,一般来说,在 许多专题特写中不把它作为主题,但是,它对于成功、可靠和无差错的设计是关键。来自 Intersil 公司的作者 David Ritter 和 Tamara Schmitz 参加了关于该主题的进一步对话。本 文是对话的第一部分。Dave 和 Tamara 信仰辩论的价值、教育的价值以及谦虚地深入讨 论核心问题的价值;简而言之,为了获取知识而
  3. 所属分类:教育

    • 发布日期:2010-01-13
    • 文件大小:472064
    • 提供者:wjq5588
  1. 关于旁路电容的深度对话.pdf

  2. 通过一次关于基本知识的对话,让我们深入考察那没有什么魅力但是极其关键的旁路电容和去耦电容。
  3. 所属分类:其它

    • 发布日期:2019-09-05
    • 文件大小:522240
    • 提供者:weixin_38743602
  1. 旁路电容的深度探讨.pdf

  2. 旁路电容的深度探讨pdf,旁路电容对于测试电路影响acka〔e valve ESL fc 图3:旁路电容的阻抗。 David:我们在实验室中所发现的问题在于,各和封装均是关似的。我们所采用的大多数陶瓷电容均为面积 是0805或0603的电容。我测试发现,把06030.1uF电容挨着0603100pF电容安装,效果上不如仅仅采 用两个06030.1pF的电容。 Tamara:那是完全有可能。我猜测,你所处的频率范围就是06030.1F电容被最优化的频率范围。 0,1F 0b3 loOp d603
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:1048576
    • 提供者:weixin_38744375
  1. 关于旁路电容的深度对话.pdf

  2. 关于旁路电容的深度对话,看了后会对旁路电容有更深入的认识
  3. 所属分类:专业指导

    • 发布日期:2010-12-06
    • 文件大小:471040
    • 提供者:dianxinlhp