您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 任意波形发生器ROM查找表的设计

  2. 基于直接数字合成器(DDS)技术设计的任意波形发生器中,ROM查找表是DDS模块的一个重要环节,主要用于存储系统的波形数据。介绍使用C语言、Matlab和DSP Builder三种方法生成正弦波、三角波、锯齿波和方波的查找表初始化波形数据
  3. 所属分类:C

    • 发布日期:2009-07-10
    • 文件大小:231424
    • 提供者:zy439958
  1. FPGA定制ROM单片机读ROM数据

  2. 此程序实现的是在FPGA里面定制ROM,事先存进去,然后单片机控制时序,将他们读出来,送给玩不的DAC,DAC将输出一个波形。
  3. 所属分类:硬件开发

    • 发布日期:2009-09-19
    • 文件大小:1048576
    • 提供者:liuhai_wukeyuan
  1. 任意波形发生器ROM 查找表的设计

  2. 基于直接数字合成器(DDS) 技术设计的任意波形发生器中,ROM 查找表是DDS 模块的一个重要环节,主要用 于存储系统的波形数据。介绍使用C 语言、Matlab 和 三种方法生成正弦波、三角波、锯齿波和方波的查找表初始化波形数据,并对每一种方法的程序设计、参数设置以及波形仿真都作了详细说明
  3. 所属分类:其它

    • 发布日期:2009-12-25
    • 文件大小:854016
    • 提供者:quartus90
  1. 可变时钟结构的任意波形发生器消除了DDS的局限性

  2. 目前,大多数数字式信号发生器采用直接数字合成技术(DDS)方式。在DDS信号发生器中,RAM地址增量是由增量寄存器和相位累加器组成的数字模块利用一个固定的时钟频率确定的。 RAM内容存有所希望的波形(例如正弦波)的每一循环(360度)中各个点的幅度值。通常情况下,所采用点的数量要等于或大于垂直幅度点的数量。
  3. 所属分类:专业指导

    • 发布日期:2010-03-19
    • 文件大小:346112
    • 提供者:xiaoxiayaya
  1. DDS任意波形发生器的设计与实现

  2. 用 QuarusII 为平台,设计一个频率及相位均可控制的具有正弦和余弦输出的 直接数字频率合成器。利用实验箱上的D/A转换器件将ROM 输出的数字信号 通过实验箱上的开关输入 DDS 的频率和相位控制字,并能用示波器观察加以 验证。 可以同时输出正余弦两路正交信号。 设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器。并 能用以其中一种波形为基准输出另一种波形。 根据自己的想法添加其他功能,可以用数码管动态显示生成的波形频率和相 位,也可以使 ROM 中所存点数尽量少,如正弦函数
  3. 所属分类:专业指导

    • 发布日期:2010-05-11
    • 文件大小:3145728
    • 提供者:wzh1231986
  1. 任意波形发生器ROM查找表的设计

  2. 任意波形发生器ROM查找表的设计,包括C语言和MATLAB.
  3. 所属分类:C

    • 发布日期:2010-07-19
    • 文件大小:231424
    • 提供者:iamwar
  1. VHDL实现基于ROM的正弦波发生器的设计

  2. 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。
  3. 所属分类:嵌入式

    • 发布日期:2010-08-05
    • 文件大小:65536
    • 提供者:haorenka2010
  1. 用matlab产生FPGA中的ROM所需要的正弦数据波形

  2. 在FPGA编程中当要调用ROM IP核的时候,需要给ROM指定一个初始数据文件。当ROM的容量很大的时候,不可能手动输入。需要借助matlab生成相应的存储文件。附件是其matlab代码,可以生成相应格式的.mif文件。
  3. 所属分类:其它

    • 发布日期:2011-04-21
    • 文件大小:1024
    • 提供者:chenlhmzh
  1. 波形发生器与扫频信号发生器电路

  2. 顶层文件SIGNT.VHD在FPGA中实现,包含两个部分:ROM的地址信号发生器,由7位计数器担任;正弦数据ROM,由LPM-ROM模块构成。LPM-ROM底层是FPGA中的EAB或M9Q等模块。地址发生器的时钟CLK的输入频率f0与周期的波形数据点数,以及D/A输出的频率f的关系是:f=f0/64
  3. 所属分类:专业指导

    • 发布日期:2011-05-19
    • 文件大小:104448
    • 提供者:zxrcactus
  1. DSP设计中ROM查找表的设计

  2. :基于直接数字合成器(DDS) 技术设计的任意波形发生器中,ROM 查找表是DDS 模块的一个重要环节,主要用 于存储系统的波形数据。介绍使用C 语言、Matlab 和DSP Builder 三种方法生成正弦波、三角波、锯齿波和方波的查找表初 始化波形数据,并对每一种方法的程序设计、参数设置以及波形仿真都作了详细说明,所有波形数据均在GW48 SOPC 开 发系统中测试通过。
  3. 所属分类:硬件开发

    • 发布日期:2011-08-07
    • 文件大小:854016
    • 提供者:yoever_pei
  1. 任意波形发生器

  2. 基于直接数字合成器(DDS)技术设计的任意波形发生器中,ROM 查找表是DDS模块的一个重要环节,主要用 于存储系统的渡形数据。
  3. 所属分类:嵌入式

    • 发布日期:2012-03-06
    • 文件大小:157696
    • 提供者:ceciliajay
  1. (FPGA)DDS要用到的MIF文件生成器,产生任意波形

  2. FPGA使用DDS时,可用MIF文件生成器,放进ROM,产生任意波形,且数据点数目等参数均可设置
  3. 所属分类:其它

    • 发布日期:2013-08-19
    • 文件大小:622592
    • 提供者:u010755326
  1. dds波形发生器(基于FPGA)

  2. 基于FPGA的dds波形发生器 利用FPGA+DAC,设计一个DDS信号发生器。 要求:1. 分辨率优于0.1HZ 2. ROM长度8位、位宽8位 3. 输出频率:10HZ~60KHZ(每周期>=50个点) 4. 显示信号频率(16进制显示,低频时至少含一位小数) 5. 直接输入频率控制字
  3. 所属分类:硬件开发

    • 发布日期:2014-05-02
    • 文件大小:104448
    • 提供者:u013623915
  1. FPGA输出波形程序

  2. 利用FPGA和DAC输出多种波形,但也存在一些缺陷。 不过不影响输出,有问题可以留言。
  3. 所属分类:其它

    • 发布日期:2015-07-18
    • 文件大小:4194304
    • 提供者:jie417813216
  1. 波形数据生成器

  2. 波形数据生成器,可导出ROM和MIF文件格式,应用于FPGA。
  3. 所属分类:其它

    • 发布日期:2018-10-17
    • 文件大小:217088
    • 提供者:qq_23958451
  1. 使用matlab创建.mif文件,作为FPGA的ROM中的初始数据

  2. 使用modelsim仿真FPGA的ROM,可以使用该matlab程序创建.mif文件,用来初始化FPGA中ROM的数据,可以根据自己的具体需要,修改波形函数。注意:.m文件在哪个目录下,mif文件就在那。
  3. 所属分类:硬件开发

  1. 任意波形发生器

  2. quartusII制作任意波形发生器 采用rom 可产生方波正弦波三角波 确实可用
  3. 所属分类:其它

    • 发布日期:2011-11-03
    • 文件大小:739328
    • 提供者:aday00
  1. 采用FPGA实现DDS任意波形发生器

  2. DDS直接数字式频率合成器(Direct Digital Synthesizer),相信所有人看到这个名字就觉得不会陌生。有些资料讲述的方式太高大上,不少人一时半会接受不了。本篇文章从双口RAM入手,由浅入深脱掉DDS高大上的外衣。 两个关键术语:a. 相位累加器:Phase = Phase + freq_ctrl,可以暂且理解为i = i + 1一样的东西。b. 频率控制字:freq_ctrl,这个东西的值直接影响输出信号的频率。 假设系统工作时钟(查表时钟)为150MHz,ROM表深度为
  3. 所属分类:其它

    • 发布日期:2020-07-21
    • 文件大小:80896
    • 提供者:weixin_38743076
  1. 基于Nios II与LabVIEW的任意波形系统的设计和实现

  2. 目前,基于直接数字频率合成DDS(Direct Digital Frequency Synthesis) 是一种以固定的精确时钟源为基准,利用数字处理模块产生频率和相位均可调的输出信号的技术。DDS部分功能由FPGA来实现,微处理器芯片用于完成控制功能,这种结构电路复杂,开发周期长,系统的软硬件升级也存在困难。用户通过拨码开关输入所需频率信号的数据,利用单片机寻址相应的频率控制字,输入DDS芯片内核,通过改变调用ROM表中频率控制字的地址,来实现输出频率跳变的目的,同时在DDS输出端增加一个低通
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:344064
    • 提供者:weixin_38690830
  1. 基于FPGA的任意波形发生器设计与研究

  2. 在此基于DDS技术进行任意波形发生器的研制。以单片机为控制核心,采用FPGA芯片EP1C3T144C8,通过使用相位累加器和波形ROM等模块实现DDS功能,可产生正弦波、方波、三角渡与锯齿波等常规波形,而且能够产生任意波形,并通过键盘一一对应波形,从而满足研究的需要。最后给出系统产生的测试数据,并对影响频谱纯度的杂散与噪声产生的原因进行分析。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:248832
    • 提供者:weixin_38723683
« 12 3 4 5 6 7 »