您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 关于浮点模块设计学习资料分享

  2. 这是一个关于浮点模块设计 的学习资料,资料较为已整理全面 希望对大家有帮助
  3. 所属分类:专业指导

    • 发布日期:2009-05-09
    • 文件大小:2097152
    • 提供者:cltclt
  1. 基于FPGA技术的浮点运算器的设计与实现

  2. 日趋进步和完善的FPGA (现场可编程门阵列) 技术推动了当前数字电路的设计。浮点运算器是计算机的一个 组成部件,结构比较复杂,利用FPGA 技术设计浮点运算器可以缩短产品的开发周期。介绍了基于FPGA 技术的浮点 运算器的设计与实现。描述了采用VHDL(VHSIC 硬件描述语言) 和原理图方式设计完成浮点运算器的方法和步骤。 利用FPGA 技术,能方便灵活地设计出浮点运算器。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-04
    • 文件大小:301056
    • 提供者:hexi88431633
  1. 基于FPGA的高精度浮点IIR滤波器设计

  2. 本文详细讨论了利用新版本FPGA 辅助设计软件QuartusII6.0 中提供的浮点运算功能模块实现IIR滤波器的方法,与采用FPGA 的乘法模块的同类设计相比,此滤波器设计结构简单,容易扩展,特别是最终滤波结果与Matlab软件仿真结果比较,精度很高。
  3. 所属分类:硬件开发

    • 发布日期:2009-09-22
    • 文件大小:589824
    • 提供者:xy318575767
  1. 论文 LPCC浮点运算IP核的设计与实现

  2. 介绍基于FPGA的LPCC语音识别运算的设计实现,包含LPCC的浮点运算和控制流程,以及IP核的设计与实现
  3. 所属分类:硬件开发

    • 发布日期:2009-10-04
    • 文件大小:67584
    • 提供者:lida1204
  1. 用硬件描述语言设计浮点乘法器(原码一位乘法)

  2. 用硬件描述语言设计浮点乘法器(原码一位乘法) 课程设计报告。 很全面的
  3. 所属分类:专业指导

    • 发布日期:2010-07-10
    • 文件大小:555008
    • 提供者:l326107675
  1. 32位浮点DSP TMS320C30程序设计方法

  2. 32位浮点DSP TMS320C30程序设计方法
  3. 所属分类:硬件开发

    • 发布日期:2011-01-20
    • 文件大小:220160
    • 提供者:Augusdi
  1. 基于IEEE754标准的浮点乘法器

  2. 本设计是基于fpga的浮点乘法器设计,两个浮点数用ieee754标准表示,程序采用的verilog语言
  3. 所属分类:专业指导

    • 发布日期:2011-05-13
    • 文件大小:49152
    • 提供者:zsh6364931
  1. 向量浮点协处理器VFP_A的设计和验证

  2. 介绍了一种高性能浮点协处理器VFP A 的设计和验证。该设计结果符合ARM11 协处 理器接口规范
  3. 所属分类:嵌入式

    • 发布日期:2011-06-03
    • 文件大小:480256
    • 提供者:chensong0007
  1. 基于FPGA单精度浮点乘法器的设计实现与测试

  2. 基于FPGA单精度浮点乘法器的设计实现与测试
  3. 所属分类:硬件开发

    • 发布日期:2011-07-31
    • 文件大小:262144
    • 提供者:majijuncekong
  1. 浮点乘法器IEEE舍入的实现

  2. 描述了浮点乘法器中舍入的基本方法,介绍了一种实现舍入的系统的设计方法和硬件模型,并对它进行了分 析,在这种系统设计方法的基础上,提出了一种直接预测和选择的舍入方案。
  3. 所属分类:其它

    • 发布日期:2011-08-18
    • 文件大小:148480
    • 提供者:ziziyeli
  1. 基于VHDL 语言的浮点乘法器的硬件实现

  2. 本文提出了一种基于VHDL 语言的浮点乘法器的硬件实现方法, 就是用VHDL 语言描述设计文件, 用 FPGA 实现浮点乘法, 并在Maxplus2 上进行了模拟仿真, 得到了很好的结果. 该浮点乘法可以实现任意位的乘 法运算.
  3. 所属分类:专业指导

    • 发布日期:2011-08-18
    • 文件大小:181248
    • 提供者:ziziyeli
  1. FPGA中高速浮点运算的设计与实现

  2. FPGA中高速浮点运算的设计与实现。这篇论文能很好的告诉你如何自定义FPGA的浮点设计
  3. 所属分类:嵌入式

    • 发布日期:2011-10-31
    • 文件大小:430080
    • 提供者:giantgavin
  1. 浮点计算编程原理、实现与应用

  2. 前言  第1章 引论   1.1 计算有什么用?    1.1.1 基础科学    1.1.2 应用科学    1.1.3 工程项目    1.1.4 日常生活   1.2 超高精度计算有什么用?   1.3 计算编程概述   1.4 一些缩写的解释 第1部分 原理与实现:通用仿真库  第2章 代码概述   2.1 基本内容   2.2 使用c++?    2.2.1 c还是c++?    2.2.2 c++与通用编程   2.3 c++代码的设计    2.3.1 使用模板    2.3.2
  3. 所属分类:C++

    • 发布日期:2011-12-06
    • 文件大小:12582912
    • 提供者:xqq524148626
  1. 基于verilog的浮点运算器

  2. 这是一个基于verilog设计的浮点型计算器,里面包括verilog代码,测试代码,PIPELINE的设计
  3. 所属分类:其它

    • 发布日期:2012-06-05
    • 文件大小:131072
    • 提供者:jalder
  1. 浮点加法器

  2. 浮点加法器,基于FPGA浮点加法器的设计,是全英文的,有能力或者有兴趣的可以去看看
  3. 所属分类:C/C++

    • 发布日期:2013-11-16
    • 文件大小:209920
    • 提供者:u012854915
  1. 课程设计报告——用硬件描述语言设计浮点乘法器(原码一位乘法)

  2. 完完整整的课程设计报告——用硬件描述语言设计浮点乘法器(原码一位乘法),仅去除了作者和指导老师名字
  3. 所属分类:专业指导

    • 发布日期:2015-06-01
    • 文件大小:2097152
    • 提供者:srt060528
  1. 浮点指令-汇编语言程序设计

  2. 浮点指令 对下面的指令先做一些说明: st(i):代表浮点寄存器,所说的出栈、入栈操作都是对st(i)的影响 src,dst,dest,op等都是指指令的操作数,src表示源操作数,dst/dest表示目的操作数 mem8,mem16,mem32,mem64,mem80等表示是内存操作数,后面的数值表示该操作数的内存位数(8位为一字节)
  3. 所属分类:硬件开发

    • 发布日期:2008-12-05
    • 文件大小:14336
    • 提供者:richbyron
  1. 浮点运算单击片汇编编写

  2. 汇编程序设计,用于单击片设计,浮点运算,外加详细设计思路,以及流程图。
  3. 所属分类:硬件开发

    • 发布日期:2009-01-04
    • 文件大小:33792
    • 提供者:huangguihua001
  1. 32位IEEE-754浮点加法器设计

  2. 完整的32位浮点加法器设计DESIGN OF SINGLE PRECISION FLOAT ADDER (32-BIT NUMBERS) ACCORDING TO IEEE 754 STANDARD USING VHDL
  3. 所属分类:硬件开发

    • 发布日期:2018-08-25
    • 文件大小:3145728
    • 提供者:wuyuehang1990
  1. 让新型SHARC处理器满足“一高二低”的浮点设计需求

  2. 浮点DSP比定点DSP的动态范围更大;很多算法的浮点代码比定点占用更少的周期;浮点DSP可以实现更高的精度;浮点DSP的浮点运算用硬件来实现,其处理速度大大高于定点DSP…...这些浮点DSP与定点DSP的比较优势是浮点算法拥趸者们在“浮点定点之争”的话题中常提及的,也为大多数嵌入式设计工程师所熟知。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:139264
    • 提供者:weixin_38722464
« 12 3 4 5 6 7 8 9 10 ... 41 »