您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog语言键盘消抖

  2. FBGA键盘消抖程序,Verilog语言
  3. 所属分类:C/C++

    • 发布日期:2009-05-24
    • 文件大小:293888
    • 提供者:xcxhsr
  1. 基于中断技术的开关软件消抖算法

  2. 基于中断技术的开关软件消抖算法!!!!!!!!!!!!!!!
  3. 所属分类:其它

    • 发布日期:2009-07-21
    • 文件大小:116736
    • 提供者:fly19890114
  1. 4*4矩阵键盘 按键消抖 单片机c语言

  2. 4*4矩阵键盘 按键消抖 单片机c语言 键盘扫描子程序
  3. 所属分类:C

    • 发布日期:2009-08-31
    • 文件大小:12288
    • 提供者:dubangsheng
  1. 使用硬件方式对按键进行消抖处理

  2. 使用硬件方式对按键进行消抖处理,主要用于单片机,以及FPGA。
  3. 所属分类:硬件开发

    • 发布日期:2010-01-02
    • 文件大小:27648
    • 提供者:cjb197
  1. 使用硬件方式对按键消抖的处理

  2. 使用硬件方式对按键消抖的处理 按键消抖: 通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,电压信号小型如下图。由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动,如下图。抖动时间的长短由按键的机械特性决定,一般为5ms~10ms。这是一个很重要的时间参数,在很多场合都要用到。
  3. 所属分类:专业指导

    • 发布日期:2010-03-31
    • 文件大小:27648
    • 提供者:zzh0416
  1. 基于VHDL的按键消抖程序

  2. 本程序使用VHDL硬件描述语言写的关于按键消抖的源代码,非常简单
  3. 所属分类:其它

  1. 键盘接口软件消抖程序设计

  2. 此程序是用C语言编写的键盘接口消抖程序,用于单片机开发中的应用
  3. 所属分类:C

    • 发布日期:2011-07-09
    • 文件大小:3072
    • 提供者:liu_liu_1990
  1. 按键消抖硬件和软件方法

  2. 关于按键的硬件和软件消抖的方法,很给力啊,按键消抖按键消抖按键消抖
  3. 所属分类:硬件开发

    • 发布日期:2011-08-17
    • 文件大小:103424
    • 提供者:yelangmingming
  1. 按键消抖的方法研究

  2. 对FPGA系统设计中抖动进行消抖的几种方法介绍
  3. 所属分类:嵌入式

    • 发布日期:2011-08-24
    • 文件大小:337920
    • 提供者:zhouzhou312
  1. joystick消抖

  2. joystick 消抖,并可用于检测joystick存在抖动。借用CV作为计数器,实用EVK1100。
  3. 所属分类:Linux

    • 发布日期:2011-10-09
    • 文件大小:5120
    • 提供者:zxdsky
  1. 实验八按键消抖控制LED亮灭

  2. 实验八按键消抖控制LED亮灭 实验八按键消抖控制LED亮灭 实验八按键消抖控制LED亮灭
  3. 所属分类:专业指导

    • 发布日期:2011-10-29
    • 文件大小:435200
    • 提供者:augusdi
  1. 独立按键消抖FPGA模块

  2. 独立按键消抖FPGA模块
  3. 所属分类:硬件开发

    • 发布日期:2011-11-28
    • 文件大小:30720
    • 提供者:luoweilai
  1. 单片机键盘电路设计与消抖处理

  2. 本文设计了一种单片机键盘电路,比传统的矩阵键盘更能节省I/O端口,并且不需要扫描程序。所采用的消抖处理也有很大改进。
  3. 所属分类:C

    • 发布日期:2011-11-28
    • 文件大小:201728
    • 提供者:iuyinxiao002
  1. 单片机消抖程序

  2. 单片机消抖程序,不是采用延时消抖,很好的利用了cpu的资源
  3. 所属分类:嵌入式

    • 发布日期:2011-12-15
    • 文件大小:46080
    • 提供者:haixinghp
  1. 带有按键消抖模块的IIC读写控制器

  2. 带有按键消抖模块的IIC读写控制器,以前用它来读写AT24C04的代码
  3. 所属分类:硬件开发

    • 发布日期:2012-03-14
    • 文件大小:2097152
    • 提供者:zhuyh89
  1. 用VHDL语言实现接键消抖功能

  2. 本文介绍了基于VHDL语言的计数器型消抖电路、D触发器型消抖电路、有限状态机型消抖电路的工作原理、相关程序、波形仿真及结果分析,并将其进行比较,发现其消抖效果良好,性能稳定,可广泛用于FPGA的按键电路中。 而这些方法中,本文主要以介绍以有限状态机为基础的消抖电路,因为刚学了有限状态机,因此想更熟练地掌握它,故想到在我们所学过的计数器型消抖电路的基础上来进行拓展,既对消抖电路电路有了更深的了解,又对有限状态机有了更熟练地掌握。
  3. 所属分类:数据库

  1. FPGA_按键消抖原理和程序

  2. 在FPGA的按键消抖原理方面讲的很透彻
  3. 所属分类:嵌入式

    • 发布日期:2012-05-12
    • 文件大小:311296
    • 提供者:szm_08220528
  1. 独立按键消抖的改进

  2. 独立按键消抖的改进 很好的技术文档 看了之后容易理解
  3. 所属分类:硬件开发

  1. 按键消抖电路

  2. 按键消抖电路加计数器,整个工程(包含分频电路和电路图 频率:500HZ)
  3. 所属分类:硬件开发

    • 发布日期:2012-12-02
    • 文件大小:372736
    • 提供者:kimishenyize
  1. 非延时按键消抖及长按检测程序

  2. 按键读取后,可调用该程序进行软件消抖,同时判断按键是不是长按。不是采用延时检测的方式,不会阻塞程序。
  3. 所属分类:C

    • 发布日期:2013-02-09
    • 文件大小:980
    • 提供者:evense
« 12 3 4 5 6 7 8 9 10 ... 18 »