您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 用FPGA实现多路PWM输出的接口设计与仿真

  2. 在电机控制等许多应用场合,需要产生多路频率和脉冲宽度可调的PWM波形。本文用Altera公司FPGA产品开发工具QuartusⅡ,设计了6路PWM输出接口,并下载到FPGA,实现与CPU的协同工作。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:184320
    • 提供者:weixin_38687505
  1. 用FPGA实现多路PWM输出的接口设计与仿真

  2. 0 引言   在许多嵌入式系统的实际应用中,需要扩展FP-GA(现场可编程门阵列)模块,将CPU实现有困难或实现效率低的部分用FPGA实现,如数字信号处理、硬件数字滤波器、各种算法等,或者利用FPGA来扩展I/O接口,如实现多路PWM(脉宽调制)输出、实现PCI接口扩展等。通过合理的系统软硬件功能划分,结合优秀高效的FPGA设计,整个嵌入式系统的效率和功能可以得到最大限度的提高。   在电机控制等许多应用场合,需要产生多路频率和脉冲宽度可调的PWM波形。本文用Altera公司FPGA产品开发
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:138240
    • 提供者:weixin_38595243