您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 电梯运行控制器设计(EDA)

  2. 本电梯控制器分为主控制器和分控制器。主控制器是电梯内部的控制器,每个楼层有一个分控制器
  3. 所属分类:专业指导

    • 发布日期:2009-09-20
    • 文件大小:40960
    • 提供者:guocaifang
  1. 一个VHDL电梯控制器的程序

  2. 这是一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。 6、 电梯运行规则:当电梯处于上升模
  3. 所属分类:专业指导

    • 发布日期:2009-12-21
    • 文件大小:161792
    • 提供者:woshaogang123
  1. 基于FPGA的电梯控制器VHDL

  2. 介绍了基于FPGA的电梯控制器的总体设计方案,阐述了其内部功能模块的工作原理,基于状态机的原理,利用VHDL语言对各功能模块进行了编程、编译、仿真,并下载到实验箱上进行了验证。结果表明,该电梯控制器按照方向优先的原则可为四个楼层提供载客服务,并具有电梯运行情况指示功能。文中使用的设计方法不仅简化了电路设计、节约了设计成本,而且提高了控制器的可靠性、稳定性和灵活性。
  3. 所属分类:硬件开发

    • 发布日期:2010-01-10
    • 文件大小:162816
    • 提供者:xjxiejie
  1. 6层电梯控制器VHDL

  2. 介绍了基于FPGA的电梯控制器的总体设计方案,阐述了其内部功能模块的工作原理,基于状态机的原理,利用VHDL语言对各功能模块进行了编程、编译、仿真,并下载到实验箱上进行了验证。结果表明,该电梯控制器按照方向优先的原则可为四个楼层提供载客服务,并具有电梯运行情况指示功能。文中使用的设计方法不仅简化了电路设计、节约了设计成本,而且提高了控制器的可靠性、稳定性和灵活性。
  3. 所属分类:硬件开发

    • 发布日期:2010-01-10
    • 文件大小:40960
    • 提供者:xjxiejie
  1. EDA课程设计——电梯控制器设计

  2. 绝对完整…… 电梯上锁;电梯报警;电梯超载;电梯运行模式等电梯运行的各个环节的仿真波形。 这份文档可是我千辛万苦整理出来的,非常详细,经过多位老师的观看;
  3. 所属分类:嵌入式

    • 发布日期:2010-03-04
    • 文件大小:138240
    • 提供者:englishisgood
  1. 基于VHDL三层电梯控制器的设计

  2. 摘 要 : 用层次化的设计方法,通过硬件描述语言V H D L 对电梯控制器各功能模块进行编程,并使用M AX + P L U S I I 软件对该程序进行了编译、仿真,结果表明该电梯遵循方向优先的原则提供三个楼层多用户的载客服务并指 示电梯的运行情况。 关键词: V H D L : 电梯 : 控制器
  3. 所属分类:嵌入式

    • 发布日期:2010-03-10
    • 文件大小:267264
    • 提供者:a3106009279
  1. 电梯PLC控制系统的设计与实践

  2. 今天的可编程控制器正在成为工业控制领域的主流控制设备,在世界各地发挥着越来越大的作用,可编程控制器PLC便因其稳定性高、结构简单、成本低廉、简单易学、功能强大和使用方便等优点已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应于系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC程序设计,大大提高了电梯的控制水平,并改善了电梯运行的舒适感。因此,PLC在电梯控制系统中的应用非常广泛,非常有实际价值。
  3. 所属分类:专业指导

    • 发布日期:2010-03-27
    • 文件大小:286720
    • 提供者:klonglee
  1. 电梯控制器的设计与分析

  2. 根据系统设计要求,并考虑到系统的可验证性,整个系统的输入输出接口设计如图9.1所示:系统工作用2 Hz基准时钟信号CLKIN,楼层上升请求键UPIN,楼层下降请求键DOWNIN,楼层选择键入键ST_CH,提前关门输入键CLOSE,延迟关门输入键DELAY,电梯运行的开关键RUN_STOP,电梯运行或停止指示键LAMP,电梯运行或等待时间指示键RUN_WAIT,电梯所在楼层指示数码管ST_OUT,楼层选择指示数码管DIRECT。
  3. 所属分类:专业指导

    • 发布日期:2010-04-09
    • 文件大小:614400
    • 提供者:zhqw1234
  1. 电梯运行控制器设计 VHDL

  2. 电梯运行控制器设计,VHDL语言简单实用。
  3. 所属分类:专业指导

    • 发布日期:2010-05-22
    • 文件大小:49152
    • 提供者:offspring2010
  1. 基于 PLC 的电梯控制程序设计

  2. 电梯是一种垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,电梯运行必须达到 “稳、准、快”目标要求。因此必须努力提高电梯系统的性能,保证电梯的运行既要高效节能又必须安全可靠。而可编程控制器(PLC)是根据顺序逻辑控制的需要而发展起来的,若采用 PLC 对电梯信号系统进行控制,既可提高电梯的控制水平,并能改善电梯运行的舒适感,使电梯达到了较为
  3. 所属分类:专业指导

    • 发布日期:2011-04-12
    • 文件大小:778240
    • 提供者:Xuanyunzhang
  1. 基于ARM的电梯主控制器设计

  2. 基于ARM的电梯主控制器设计电梯,是一种服务于规定楼层的固定升降设备。它具有一个轿厢,运行在至少两 列垂直的或倾斜角小于150的刚性导轨之剐11。被称为现代电梯鼻祖的美国奥的斯公 司于1887年制造出了世界上第一台电梯,这是一台以直流电动机传动的电梯,1889 年,它被装设在纽约德玛利斯大厦。而自第一台电梯问世100多年以来,由于社会经 济的不断发展及人们物质生活水平的不断提高,电梯与人们的生活息息相关,已成为 人们日常工作和生活中不可缺少的一项垂直交通运输工具。
  3. 所属分类:C

    • 发布日期:2011-05-31
    • 文件大小:4194304
    • 提供者:xiyoukeke
  1. EDA 高层电梯控制器课程设计

  2. 设计一个16层电梯控制器,分为主控制器和分控制器。主控制器是电梯内部的控制器,每层电梯入口处有一个分控制器。 主控制器的功能如下: (1) 在电梯开关打开时响应要求,否则不响应; (2) 电梯初始位置是1层; (3) 电梯运行时,指示方向和当前所在楼层; (4) 电梯每秒升/降1层; (5) 当电梯到达所请求的楼层时,自动开门,等待5秒后自动关门,继续运行,如果没有请求信号,停留在当前楼层; (6) 收到请求后,自动到达用户所在楼层,自动开门; (7) 记忆电梯内外所有请求,并按电梯运行顺序执
  3. 所属分类:其它

    • 发布日期:2011-07-04
    • 文件大小:636928
    • 提供者:a569582560
  1. 五层电梯源码及注释

  2. 设计一个5层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。要求设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。电梯每秒升降一层楼。 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门5秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。 电梯能记忆电梯内外所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至有电梯响应后消除。 初始状态为一层开门,第一
  3. 所属分类:其它

    • 发布日期:2012-01-05
    • 文件大小:65536
    • 提供者:ljjlxhlh
  1. 电梯运行控制器的研究

  2. 电梯运行控制器的研究 电梯运行控制器的研究 电梯运行控制器的研究
  3. 所属分类:硬件开发

    • 发布日期:2012-02-26
    • 文件大小:51200
    • 提供者:augusdi
  1. 基于CPLD的电梯运行控制器的设计

  2. 本文采用单片CPLD器件,在MAX+plusII软件环境下,运用VHDL语言设计一个16楼层单个载客箱的电梯控制系统。该系统能够完成电梯运行所需的控制要求,并在运行上遵循方向优先的原则。
  3. 所属分类:硬件开发

    • 发布日期:2012-03-06
    • 文件大小:216064
    • 提供者:whfchxl11
  1. 用CPLD器件及VDHL语言实现电梯控制系统

  2. 该系统软件设计中,成功编译并仿真VHDL源程序,且对仿真图进行合理分析。在硬件实验过程中,对VHDL源程序进行成功下载,所得实验结果与软件仿真结果完全相符,从而证明电梯运行控制器的设计满足系统功能要求。
  3. 所属分类:其它

    • 发布日期:2020-08-13
    • 文件大小:234496
    • 提供者:weixin_38606300
  1. 基于CPLD的电梯运行控制器的设计

  2. 该系统软件设计中,成功编译并仿真VHDL源程序,且对仿真图进行合理分析。在硬件实验过程中,对VHDL源程序进行成功下载,所得实验结果与软件仿真结果完全相符,从而证明电梯运行控制器的设计满足系统功能要求。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:233472
    • 提供者:weixin_38627590
  1. 工业电子中的伺服定位系统-电梯一体化控制器汇川NICE3000

  2. NICE3000 是当今最先进的第四代电梯控制系统,它将电梯控制与变频驱动完美地融合在一起,电梯准确的运行曲线最真实地反映出控制的意图,系统I/O接口简洁、故障诊断准确、丰富。同时本系统还具备:IE远程监控、PDA操作、N条曲线、直接停靠、永不冲顶蹲底、智能楼宇控制、蓄电池运行、能量反馈等高端功能。   典型系统图   N条曲线   特点介绍   ■ 根据两点的距离自动生成运行曲线,无需预先设定;   ■ 短层站运行无需额外设定;   ■ 加速过程允许截车;   ■ 没有爬行,直接停靠;   
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:84992
    • 提供者:weixin_38702844
  1. 基于CPLD的电梯运行控制器的设计

  2. 探讨电梯控制技术的发展历史和技术现状,仔细研究CPLD器件的工作原理,开发流程以及VHDL语言的编程方法;采用单片CPLD器件,在MAX+plusⅡ软件环境下,运用VHDL语言设计一个16楼层单个载客箱的电梯控制系统。该系统能够完成电梯运行所需的控制要求,并在运行上遵循方向优先的原则。最后,通过仿真实验证明,该系统能够达到功能要求。
  3. 所属分类:其它

    • 发布日期:2021-01-30
    • 文件大小:622592
    • 提供者:weixin_38680664
  1. 伺服定位系统-电梯一体化控制器汇川NICE3000

  2. NICE3000 是当今的第四代电梯控制系统,它将电梯控制与变频驱动完美地融合在一起,电梯准确的运行曲线真实地反映出控制的意图,系统I/O接口简洁、故障诊断准确、丰富。同时本系统还具备:IE远程监控、PDA操作、N条曲线、直接停靠、永不冲顶蹲底、智能楼宇控制、蓄电池运行、能量反馈等高端功能。   典型系统图   N条曲线   特点介绍   ■ 根据两点的距离自动生成运行曲线,无需预先设定;   ■ 短层站运行无需额外设定;   ■ 加速过程允许截车;   ■ 没有爬行,直接停靠;   ■ 允许
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:149504
    • 提供者:weixin_38651273
« 12 3 4 5 6 »