您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 十进制计数器 vhdl语言

  2. 用vhdl语言来实现十进制计数功能。有清零,使能信号等等
  3. 所属分类:专业指导

    • 发布日期:2009-05-09
    • 文件大小:1kb
    • 提供者:yorshooye
  1. 数字逻辑课程设计频率计数器(VHDL)

  2. 数字逻辑课程设计: 频率计数器 VHDL
  3. 所属分类:专业指导

    • 发布日期:2009-09-11
    • 文件大小:702byte
    • 提供者:arbel
  1. 8位可逆计数器 vhdl

  2. 8位可逆计数器 vhdl语言 源码 8位可逆计数器 vhdl语言 源码 8位可逆计数器 vhdl语言 源码
  3. 所属分类:其它

    • 发布日期:2009-09-13
    • 文件大小:1kb
    • 提供者:sunzhigan1
  1. 10进制计数器vhdl程序设计报告

  2. 10进制计数器 vhdl程序 quartus仿真 带进位复位功能
  3. 所属分类:嵌入式

    • 发布日期:2009-09-16
    • 文件大小:284kb
    • 提供者:kimitace
  1. 电子时钟VHDL程序与仿真

  2. 源程序 VHDL 电子时钟 1. 10进制计数器设计与仿真 (1)10进制计数器VHDL程序 --文件名:counter10.vhd。 --功能:10进制计数器,有进位C --最后修改日期:2004.3.20 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter10 is Port ( clk : in st
  3. 所属分类:嵌入式

    • 发布日期:2010-05-24
    • 文件大小:115kb
    • 提供者:fengfly2006
  1. 计数器VHDL语言一个计数器的程序 使用了VHDL语言 是数电实验设计中的必须用的一个程序

  2. 一个计数器的程序 使用了VHDL语言 是数电实验设计中的必须用的一个程序一个计数器的程序 使用了VHDL语言 是数电实验设计中的必须用的一个程序
  3. 所属分类:专业指导

    • 发布日期:2010-05-25
    • 文件大小:26kb
    • 提供者:yangyangxjtu
  1. 计数器 VHDL源代码

  2. 计数器 VHDL源代码
  3. 所属分类:其它

    • 发布日期:2010-10-10
    • 文件大小:259byte
    • 提供者:lbc6036
  1. 十二进制计数器 VHDL源码 和 原理图 都有

  2. 十二进制计数器,max + plusII…… vhdl语言 和 原理图 都有
  3. 所属分类:专业指导

    • 发布日期:2010-11-25
    • 文件大小:2kb
    • 提供者:w2542
  1. 计数器的VHDL设计与实现[1].pdf

  2. 该资源是用可编程器件实现一个计数器的例子
  3. 所属分类:专业指导

    • 发布日期:2011-03-09
    • 文件大小:341kb
    • 提供者:lhynhy1989
  1. 基于VHDL的分频计数器设计

  2. 基于VHDL的分频计数器设计,我自己编写的程序,已经通过仿真验证并且通过了,希望给大家有所帮助。
  3. 所属分类:硬件开发

    • 发布日期:2011-05-11
    • 文件大小:204kb
    • 提供者:luogao12
  1. VHDL数字24进制计数器

  2. VHDL数字24进制计数器 VHDL数字24进制计数器
  3. 所属分类:专业指导

    • 发布日期:2011-05-16
    • 文件大小:44kb
    • 提供者:yar494
  1. 4位EDA计数器(VHDL语言)

  2. 详细说明了4位十进制的VHDL表示方法,以此为经验可以编写任意进制的计数器
  3. 所属分类:硬件开发

    • 发布日期:2011-05-20
    • 文件大小:25kb
    • 提供者:wohu1104
  1. 4进制加法计数器 VHDl

  2. 4进制加法计数器 VHDL 实验 4进制加法计数器 4进制加法计数器
  3. 所属分类:专业指导

    • 发布日期:2011-05-25
    • 文件大小:175kb
    • 提供者:yiranbuneng
  1. 同步时钟同步清零的六十进制可逆计数器

  2. 同步时钟同步清零的六十进制可逆计数器 VHDL 可编程器件
  3. 所属分类:专业指导

    • 发布日期:2011-12-05
    • 文件大小:1kb
    • 提供者:abc20090512127
  1. 8位二进制计数器vhdl源程序及原理图

  2. 8位二进制计数器vhdl源程序及原理图,波形,源代码。
  3. 所属分类:3G/移动开发

    • 发布日期:2012-11-03
    • 文件大小:333kb
    • 提供者:liu2009301883
  1. 100进制计数器

  2. 基于quartus ii的100进制计数器vhdl语言
  3. 所属分类:专业指导

    • 发布日期:2012-11-24
    • 文件大小:1mb
    • 提供者:kongshuangbaby
  1. 8421 BCD 计数器 VHDL (0-9)

  2. 8421 BCD 计数器 VHDL (0-9) qt2 测试通过
  3. 所属分类:硬件开发

    • 发布日期:2012-12-18
    • 文件大小:943byte
    • 提供者:lxj616
  1. 10进制计数器VHDL代码

  2. 10进制计数器VHDL代码 Library IEEE; Use IEEE.STD_LOGIC_1164.ALL; Use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter_10 is Port( reset : in std_logic; clock : in std_logic; num_out : out std_logic_vector(3 downto 0) ); end counter_10; architecture Behavior of c
  3. 所属分类:硬件开发

    • 发布日期:2012-12-23
    • 文件大小:598byte
    • 提供者:whzxcvbnm
  1. 计数器vhdl

  2. 6进制计数器的vhdl描述 entity frequencies is port(clk:in std_logic; q:out std_logic); end frequencies; architecture behav of frequencies is signal time:integer range 0 to 5; begin end behav;
  3. 所属分类:嵌入式

    • 发布日期:2014-04-17
    • 文件大小:27kb
    • 提供者:liyun0000
  1. 北邮数字电路实验三 分频器 计数器

  2. 北邮数电实验报告三 分频器 计数器 VHDL
  3. 所属分类:嵌入式

    • 发布日期:2016-10-24
    • 文件大小:315kb
    • 提供者:qq_36500224
« 12 3 4 5 6 7 8 9 10 ... 19 »