您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多功能数字钟----数字电路实验报告

  2. 多功能数字钟 【摘 要】数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便而且大大地扩展了钟表原先的报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。 数字钟计时的标准信号应该是频率相当稳定的1HZ秒脉冲,所以要设置标准时间源。 数字钟计时周期是24小时,因此必须设置
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:698368
    • 提供者:jayzf0503
  1. 实训七 计数、译码、显示电路 用十进制计数器、译码器、显示器组成一个十进制计数显示电路

  2. 实训七 计数、译码、显示电路 熟悉通用型十进制计数器、译码器、显示器的逻辑功能; 2.掌握计数器、译码器、显示器的应用。 二、实训内容 1.用十进制计数器、译码器、显示器组成一个十进制计数显示电路。
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:2097152
    • 提供者:lhl8787
  1. 用VHDL设计的计数显示电路

  2. 设计输出为3位BCD码的计数显示电路。由三个模块构成:十进制计数器(BCD_CNT)、分时总线切换电路(SCAN)和七段显示译码器电路(DEC_LED)。
  3. 所属分类:专业指导

    • 发布日期:2010-06-22
    • 文件大小:126976
    • 提供者:iddudumegaoyuan
  1. 电子技术基础 计数译码显示电路

  2. 电子技术基础 计数译码显示电路。。。。。。。。。。。。
  3. 所属分类:专业指导

    • 发布日期:2010-07-15
    • 文件大小:1048576
    • 提供者:fuji116116
  1. 十进制计数与显示电路的设计与仿真

  2. 十进制计数器、数码管扫描显示电路 主要程序如下: LED显示程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tled is port(din: in std_logic_vector(7 downto 0); sn: out std_logic_vector(2 downto 0); clk: in std_logic; LED7: out std_logic_vecto
  3. 所属分类:硬件开发

    • 发布日期:2011-05-18
    • 文件大小:573440
    • 提供者:zhaohangke
  1. VHDL 数字显示电路

  2. VHDL 数字显示电路的设计1 用VHDL设计具有清除端、使能端,计数范围为0~999的计数器,输出为8421BCD码; 2.用VHDL设计十进制计数器(BCD_CNT)模块、七段显示译码器电路(BEC_LED)模块和分时总线切换电路(SCAN)模块。 3.用MAX+plusⅡ进行时序仿真
  3. 所属分类:专业指导

    • 发布日期:2011-05-25
    • 文件大小:267264
    • 提供者:xiyuemiao
  1. 计数和译码以及显示电路

  2. 用十进制计数器、译码器、显示器组成一个十进制计数显示电路。 熟悉通用型十进制计数器、译码器、显示器的逻辑功能; 掌握计数器、译码器、显示器的应用。
  3. 所属分类:嵌入式

    • 发布日期:2012-05-04
    • 文件大小:2097152
    • 提供者:huicc789
  1. VHDL计数显示电路

  2. 西电VHDL作业文档,VHDL的计数显示电路,三位显示
  3. 所属分类:硬件开发

    • 发布日期:2015-05-22
    • 文件大小:822272
    • 提供者:u014712679
  1. 纸张计数显示装置(报告+程序)省特等级.zip

  2. 2019年全国大学生电子设计大赛F题纸张计数现实装置,稳定测试35张纸,甘肃省特等奖,文件包含报告和相应代码。 纸张计数显示装置的设计以TM4C1294NCPDT13芯片为核心,采用TI公司生产的FDC2214RGHR电容传感器对两极板间的电容值进行检测。进行“自校准”时,单片机控制FDC2214读取电容容值通过IIC发送至单片机。单片机将获得的电容容值经过放大后与两极板间纸张数量进行分区间匹配并存储。进行纸张计数时,单片机将采集的电容值处理后与预存值进行比较,得出结论后通过OLED液晶屏进行
  3. 所属分类:C/C++

    • 发布日期:2020-02-15
    • 文件大小:13631488
    • 提供者:weixin_44121283
  1. 2019全国电赛F题纸张计数显示装置的报告

  2. 这是2019全国电赛F题纸张计数显示装置的报告,我们采用最简单的555多谐震荡电路正确数出了30张以上的纸张数量,如果想要代码可以评论我要代码。
  3. 所属分类:硬件开发

    • 发布日期:2019-08-31
    • 文件大小:1048576
    • 提供者:weixin_40644985
  1. 产品计数显示电路的设计与仿真.zip

  2. 在工业自动化生产线上往往要对生产的产品进行计件,并按一定数量进行装箱打包。现模拟生产流水线情况,对流水线上产品进行计数,并通过两位LED数码管显示。要求每20个产品装成一箱,当计数到第20个产品时,计数停止,表示装满一箱。手动清零后,可开始下一箱20个产品的计数。
  3. 所属分类:硬件开发

    • 发布日期:2020-06-11
    • 文件大小:58368
    • 提供者:chen_ma
  1. 基于压力传感器的测量与显示电路

  2. 设计一个简易汽车踏板压力测量仪。利用压力传感器将压力信号转化成电信号,再经过整形放大,利用V/F转换电路经计数译码电路对其进行显示。 二、技术指标 测量压力小于20千克; 测量误差小于2%; 显示精度为0.5。 三、设计要求 1.在选择器件时,应考虑成本,要求采用LED显示。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 4.完成电路电源的设计。
  3. 所属分类:专业指导

    • 发布日期:2010-12-22
    • 文件大小:911360
    • 提供者:wzx881015glj
  1. 2种新手必看的光耦合器电路设计图

  2. 给新人工程师分享两种常见的光耦合器电路设计图,很多电路系统中光耦合器是都不可或缺的重要组成元件,基于光耦合器而设计的电路系统更是在照明、消费电子以及智能家电等领域得到了极大地普及下面就让我们一起来看看吧。 首先要为大家分享的,是一种利用光耦合器设计的可逆计数显示电路,该电路图的电路系统如下图图1所示。 在图1所展示的这种光耦合器可逆计数显示电路中,其系统主要利用光耦器件作为光传感器进行制作,完成后可对不同运行方向的物件进行自动加减计数,适用于自动流水生产物件进行计数统计。 该电路系
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:108544
    • 提供者:weixin_38747126
  1. 新手必看的光耦合器电路设计图

  2. 给新人工程师分享两种常见的光耦合器电路设计图,很多电路系统中光耦合器是都不可或缺的重要组成元件,基于光耦合器而设计的电路系统更是在照明、消费电子以及智能家电等领域得到了极大地普及下面就让我们一起来看看吧。 首先要为大家分享的,是一种利用光耦合器设计的可逆计数显示电路,该电路图的电路系统如下图图1所示。 在图1所展示的这种光耦合器可逆计数显示电路中,其系统主要利用光耦器件作为光传感器进行制作,完成后可对不同运行方向的物件进行自动加减计数,适用于自动流水生产物件进行计数统计。 该电路系
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:106496
    • 提供者:weixin_38685694
  1. 计数译码显示电路设计

  2. 本文给大家分享了一个计数译码显示电路。
  3. 所属分类:其它

    • 发布日期:2020-07-27
    • 文件大小:18432
    • 提供者:weixin_38627234
  1. 基础电子中的两种新手必看的光耦合器电路设计图

  2. 给新人工程师分享两种常见的光耦合器电路设计图,很多电路系统中光耦合器是都不可或缺的重要组成元件,基于光耦合器而设计的电路系统更是在照明、消费电子以及智能家电等领域得到了极大地普及下面就让我们一起来看看吧。  首先要为大家分享的,是一种利用光耦合器设计的可逆计数显示电路,该电路图的电路系统如下图图1所示。   在图1所展示的这种光耦合器可逆计数显示电路中,其系统主要利用光耦器件作为光传感器进行制作,完成后可对不同运行方向的物件进行自动加减计数,适用于自动流水生产物件进行计数统计。  该电路系统的工
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:114688
    • 提供者:weixin_38665490
  1. 显示/光电技术中的可逆可预置计数显示器电路框图特点

  2. 可逆可预置计数显示器是由强驱动CMOS集成电路与LED数码显示器有机结合而组成的显示功能模块,具有计数、寄存、译码驱动及LED显示器四合一功能。其电路框图如图所示。 图:可逆可预置计数显示器电路框图  
  3. 所属分类:其它

    • 发布日期:2020-11-22
    • 文件大小:41984
    • 提供者:weixin_38689191
  1. 显示/光电技术中的可逆计数显示器电路框图

  2. 可逆计数显示器是由强驱动CMOS集成电路与LED数码显示器有机结合而制成的功能模块,具有可逆计数、寄存、译码驱动及LED显示四合一功能。其电路框图如图所示,控制功能见表。 图:可逆计数显示器电路框图  
  3. 所属分类:其它

    • 发布日期:2020-11-22
    • 文件大小:39936
    • 提供者:weixin_38724611
  1. 电源技术中的HT46R47组成的电压频率测量显示电路

  2. 引言对单片机为核心构成的智能检测仪器,测量电压、频率时有多种方法。一般对电压测量采用A/D转换法或V/F转换法。对频率测量则采用测频法或测周法。具体说:·A/D转换法将被测电压信号经过阻抗匹配,变成单片机可测量的电压范围,后经模数转换测得相应的电压值。·V/F转换则将被测电压加到V/F转换器上,然后对输出的频率进行测量,后经单片机内部程序的换算转换为电压值。·测频法是利用单片机内部计数器计数一定门限内的频率信号脉冲数。·测周法是计时一定数量的被测频率信号的脉冲的时间。总的来说,每种方法都是针对不
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:71680
    • 提供者:weixin_38599430
  1. 两种新手必看的光耦合器电路设计图

  2. 给新人工程师分享两种常见的光耦合器电路设计图,很多电路系统中光耦合器是都不可或缺的重要组成元件,基于光耦合器而设计的电路系统更是在照明、消费电子以及智能家电等领域得到了极大地普及下面就让我们一起来看看吧。  首先要为大家分享的,是一种利用光耦合器设计的可逆计数显示电路,该电路图的电路系统如下图图1所示。   在图1所展示的这种光耦合器可逆计数显示电路中,其系统主要利用光耦器件作为光传感器进行制作,完成后可对不同运行方向的物件进行自动加减计数,适用于自动流水生产物件进行计数统计。  该电路系统的工
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:105472
    • 提供者:weixin_38720978
« 12 3 4 5 6 7 8 9 10 ... 25 »