您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL设计FIR滤波器的文档

  2. 用FPGA设计15阶FIR低通滤波器。窗口类型为Hamming,Beta为0.5,FS为8.6kHz,FC为3.4kHz。编写Verilog HDL语言,用Modelsim进行仿真。本设计主要考虑工作速度,不必考虑芯片资源的耗用。高性能乘法器是实现高性能的FIR运算的关键。二进制数乘法的实质是部分积的移位累加。为了提高速度,我们分别使用了Booth编码,Wallace树,超前进位加法器,选择进位加法器结构。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-19
    • 文件大小:452608
    • 提供者:SimeonChan
  1. 超前进位加法器的设计

  2. 超前进位加法器的设计超前进位加法器的设计
  3. 所属分类:其它

    • 发布日期:2009-07-08
    • 文件大小:411648
    • 提供者:qls870228
  1. VHDL语言100例

  2. 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程死锁 第22例 振荡与
  3. 所属分类:其它

    • 发布日期:2009-08-31
    • 文件大小:320512
    • 提供者:a339238363
  1. 各届组成原理课程设计及报告

  2. 各届组成原理课程设计 阵列乘法器的设计 CRC码生成与校验电路的设计 磁记录波形发生器的设计 超前进位加法器的设计 定点原码一位乘法器的设计 海明码生成与校验电路的设计 定点原码两位乘器设计
  3. 所属分类:专业指导

    • 发布日期:2011-01-08
    • 文件大小:8388608
    • 提供者:wo453344
  1. 王金明:《Verilog HDL程序设计教程》135例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:嵌入式

    • 发布日期:2011-02-24
    • 文件大小:130048
    • 提供者:zhlyz2003
  1. vhdl语言设计100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2011-05-24
    • 文件大小:234496
    • 提供者:fqingchan
  1. verilog HDL设计实例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:专业指导

    • 发布日期:2011-06-14
    • 文件大小:158720
    • 提供者:wwe12580
  1. 并行加法器的研究与设计

  2. 首先介绍了常用并行加法器的设计方法,并在此基础上采用带进位强度的跳跃进位算法,通过逻辑综合和布局布线设计出了一个加法器。分析和比较表明,该加法器不仅速度快于超前进位加法器,而且面积和功耗均小于超前进位加法器
  3. 所属分类:其它

    • 发布日期:2011-12-22
    • 文件大小:260096
    • 提供者:daihaibo1989
  1. VHDL设计简单例程100例

  2. VHDL设计简单例程100例,简单入门VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试
  3. 所属分类:其它

    • 发布日期:2013-08-03
    • 文件大小:340992
    • 提供者:kenianhang
  1. 16位BK树超前进位加法器

  2. 16位BK树超前进位加法器(carry look ahead adder)是对普通的全加器进行改良而设计成的并行加法器,主要是针对普通全加器串联时互相进位产生的延迟进行了改良
  3. 所属分类:嵌入式

    • 发布日期:2018-10-23
    • 文件大小:5120
    • 提供者:qq_39877703
  1. 32位快速加法器

  2. 带流水线的32位快速加法器。在设计过程中,将串行进位加法器和超前进位加法器相结合,即克服了完全采用超前进位算法实现上的逻辑复杂性,又解决了串行进位运算时间长的问题,提升了运算速率。
  3. 所属分类:硬件开发

    • 发布日期:2018-12-09
    • 文件大小:2097152
    • 提供者:weixin_44029272
  1. 8位快速加法器

  2. 在设计过程中,将串行进位加法器和超前进位加法器相结合,即克服了完全采用超前进位算法实现上的逻辑复杂性,又解决了串行进位运算时间长的问题,提升了运算速率。
  3. 所属分类:硬件开发

    • 发布日期:2018-12-09
    • 文件大小:51200
    • 提供者:weixin_44029272
  1. 用verilog编写16位加法器乘法器自动售货机.pdf

  2. 包括如下实验的verilog设计报告:实验 1 十六位超前进位加法器、实验二 十六位加减法器、实验三 十六位的乘法器、实验四 自动售货机设计。有设计思路、程序代码、测试代码和仿真波形结果。
  3. 所属分类:电信

    • 发布日期:2020-04-27
    • 文件大小:288768
    • 提供者:weixin_42596755
  1. 16bit加法器减法器设计.zip

  2. Hdu计组 Verilog实验二16位超前进位加法器减法器。希望能帮到大家的实验。
  3. 所属分类:互联网

    • 发布日期:2020-04-13
    • 文件大小:229376
    • 提供者:weixin_44871778
  1. 64位子字并行加法器的设计

  2. 采用最小数目位数为4的加法器(带进位输入输出)为底层模块(使用超前进位法),然后通过两个底层模块用串联进位(类似于行波进位)的方法构成基础单元8位加法器的模型。最后再用8个8位加法器模型构成总体系统模型。 输入被加数和加数的信号,以及mode和进位输入信号后,系统利用mode和各进位输入对基本8位模型的进位传递即进位输入端进行控制,选择接受指定进位的输入还是低级模块的进位输出。以此来实现不同模式下的64位子字并行加法算法。
  3. 所属分类:其它

    • 发布日期:2011-12-22
    • 文件大小:276480
    • 提供者:daihaibo1989
  1. 074-王楠-计组实验二(超前进位加法器设计实验).doc

  2. 计算机组成及汇编原理实验报告-----超前进位加法器设计实验 (1)掌握超前进位加法器的原理及其设计方法。 (2)熟悉CPLD应用设计及EDA软件的使用。
  3. 所属分类:互联网

    • 发布日期:2020-06-03
    • 文件大小:69632
    • 提供者:m0_46354572
  1. 超前进位4位加法器74LS283的VHDL程序实现

  2. 由于串行多位加法器的高位相加时要等待低位的进位,所以速度受到进位信号的限制而变慢,人们又设计了一种多位数超前进位加法器逻辑电路,使每位求和结果直接接受加数和被加数而不必等待地位进位,而与低位的进位信号无关,这就大大的提高了运算速度。现在简单介绍超前进位的运算方法,以及VHDL可编程逻辑编程。
  3. 所属分类:其它

    • 发布日期:2020-08-09
    • 文件大小:167936
    • 提供者:weixin_38672840
  1. 基于FPGA的流水线单精度浮点数乘法器设计

  2. 针对现有的采用Booth算法与华莱士(Wallace)树结构设计的浮点乘法器运算速度慢、布局布线复杂等问题,设计了基于FPGA的流水线精度浮点数乘法器。该乘法器采用规则的Vedic算法结构,解决了布局布线复杂的问题;使用超前进位加法器(Carry Lookahead Adder,CLA)将部分积并行相加,以减少路径延迟;并通过优化的4级流水线结构处理,在Xilinx ISE 14.7软件开发平台上通过了编译、综合及仿真验证。结果证明,在相同的硬件条件下,本文所设计的浮点乘法器与基4-Boot
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:811008
    • 提供者:weixin_38586942
  1. 16位多级先行进位加法器

  2. 有测试文件,代码准确无错。为提高运算速度,可以参照超前进位加法器的设计思路,把16位加法器中的每四位作为一组,用位间快速进位的形成方法来实现16位加法器中的“组间快速进位”,就能得到16位快速加法器。其工作特点是组内并行、组间并行。设16位加法器,4位一组,分为4组:
  3. 所属分类:硬件开发

    • 发布日期:2020-11-03
    • 文件大小:167936
    • 提供者:qq_45861449
  1. 多位数加法器

  2. 串行进位加法器图3-12为四位串行进位加法器的逻辑框图。这种加法器的构成比较简单,只需把四个全加器串联起来即可,S0—最低位和数,…,S4—最高位和数。1.低位全加器的进位输出连到相邻高位全加器的进位输入。2.最低位全加器的进位端CI应当接0。这种加法器虽然各位相加是并行的,但其进位信号是由低位向高位逐级传递的,因此运算速度较慢。超前进位加法器为了提高加法器速度,在逻辑设计上采用了先行进位的方法。图3-13为四位二进制超前进位加法器CT74LS283的简化逻辑符号。A3~A0和B3~B0是四位加
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:29696
    • 提供者:weixin_38660579
« 12 »