您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 阶梯波发生器的仿真(分步骤进行,只用到了模拟器件)

  2. 设计一个能产生周期性阶梯波的电路,要求阶梯波周期在18ms左右,输出电压范围10V,阶梯个数5个。(注意:电路中均采用模拟、真实器件,不可以选用计数器、555定时器、D/A转换器等数字器件,也不可选用虚拟器件。) 对电路进行分段测试和调节,直至输出合适的阶梯波。 改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压范围和周期的元器件。通过分步骤完成仿真。
  3. 所属分类:硬件开发

    • 发布日期:2020-04-30
    • 文件大小:920576
    • 提供者:whitmanc