您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. ASIC 随机数发生器 乘法器 串并转换 交通灯控制器

  2. ASIC 随机数发生器 乘法器 串并转换 交通灯控制器
  3. 所属分类:硬件开发

    • 发布日期:2011-06-20
    • 文件大小:637952
    • 提供者:a6930559
  1. 伪随机数发生器

  2. 伪随机数发生器 伪随机数发生器 伪随机数发生器 伪随机数发生器
  3. 所属分类:Java

    • 发布日期:2011-11-03
    • 文件大小:109568
    • 提供者:yh_orz
  1. 理想随机数发生器

  2. 更加精彩(个人博客):http://blog.csdn.net/xjm199 一个理想随机数发生器R,给定参数正整数x,它可以均匀随机产生一个闭区间[0,x]之间的实数(注意是实数,每个实数出现的概率相同)R(x)。现在给定3个正整数a,b,c,我们使用a,b产生两个随机实数R(a), R(b),问R(a) + R(b) <= c的概率有多大? 输入: 正整数a,b,c。正整数均不超过10000 输出: R(a) + R(b) <= c的概率。注意输出是一个有理数(分数),请化为最
  3. 所属分类:C++

    • 发布日期:2013-12-21
    • 文件大小:272384
    • 提供者:xjm199
  1. 随机数发生器更换申请

  2. 包含测试信息和更换申请原因,山东得安科技公司 sjj0929随机数发生器
  3. 所属分类:系统安全

    • 发布日期:2014-02-18
    • 文件大小:1048576
    • 提供者:xshy1213
  1. 简单易懂随机数发生器

  2. 我编写的简单的随机数发生器,希望对大家有作业
  3. 所属分类:专业指导

    • 发布日期:2008-12-15
    • 文件大小:224256
    • 提供者:whuan627
  1. 随机数发生器随机性检测系统的设计与实现.pdf

  2. 随机数作为密钥或密码运算资源被广泛应用于信息安全系统,随机数的研究 越来越重要。随着计算技术和密码学的发展,在信息安全应用中不仅需要可输出 各种形式随机数的发生器,而且还需要对随机数的随机性做更深入的研究与分析, 为相关应用提供理论支撑。 本文综合讨论了随机数发生器及随机性检测器的设计与实现。 首先对随机数发生器进行了分类讨论,按照真随机数发生器与伪随机数发生 器两种产生方法及性能进行了分析和对比。本文研究并设计了两款伪随机数发生 器,并用软件实现这两个发生器,产生相应的实例以供随
  3. 所属分类:网络安全

    • 发布日期:2020-01-05
    • 文件大小:1048576
    • 提供者:qingyu3044
  1. matlab开发-拉普拉斯随机数发生器

  2. matlab开发-拉普拉斯随机数发生器。使用指定参数从拉普拉斯分布中生成I.I.D.随机数
  3. 所属分类:其它

  1. 一种基于真随机数发生器的扩展频谱CMOS振荡器的设计

  2. 采用恒流源充放电技术,以比较器为核心,利用一种新型真随机数发生器产生随机控制信号,设计一种基于0.5μm CMOS工艺的扩展频谱振荡器,振荡频率在1~1.6 MHz的范围内。通过Cadence spectre仿真工具对电路进行仿真验证,结果表明,该方案能够在1~1.6 MHz的范围内产生随机振荡信号。该振荡器可以用于改善DC/DC转换器的噪声性能。
  3. 所属分类:其它

    • 发布日期:2020-07-28
    • 文件大小:73728
    • 提供者:weixin_38698860
  1. 基于USB和FPGA的随机数发生器验证平台

  2. 以CycloneII FPGA芯片EP2C20Q240C8N为核心,设计实现了随机数发生器IP核下载与测试的开发验证平台,并详细阐述了各模块的设计原理及关键技术。
  3. 所属分类:其它

    • 发布日期:2020-07-25
    • 文件大小:104448
    • 提供者:weixin_38707342
  1. 真随机数发生器在信息安全系统中的应用

  2. 本文分析了常用的两类随机数发生器,指出了并非硬件随机数即为真随机数,提出了硬件真随机数发生器的实现原理和评测准则。
  3. 所属分类:其它

    • 发布日期:2020-08-01
    • 文件大小:463872
    • 提供者:weixin_38702047
  1. 基于FPGA的真随机数发生器设计与实现

  2. 设计并实现了一种基于FPGA的真随机数发生器,利用一对振荡环路之间的相位漂移和抖动以及亚稳态作为随机源,使用线性反馈移位寄存器的输出与原始序列运算作为后续处理。在Xilinx Virtex-5平台的测试实验中,探讨了振荡器数量以及采样频率等参数对随机序列的统计特性的影响。测试结果表明本设计产生的随机序列能够通过DIEHARD测试,性能满足要求。由于仅使用了普通逻辑单元,使得本设计能够迅速移植到ASIC设计,大大缩短了开发周期。
  3. 所属分类:其它

    • 发布日期:2020-08-08
    • 文件大小:564224
    • 提供者:weixin_38522529
  1. 基于热噪声的自适应匹配真随机数发生器设计

  2. 通过对热噪声模型和灵敏放大器匹配机理的研究,提出一种可自适应匹配的真随机数发生器(True Random Number Generator,TRNG)设计方案。该方案首先在灵敏放大器中嵌入可配置NMOS阵列,通过调整阵列的等效宽长比实现灵敏放大器工作电流的平衡;然后在输出端增设负载隔离单元实现互补输出负载的匹配,提高序列随机性;最后通过动态补偿算法实现TRNG自适应校准,提高其适用范围。电路采用TSMC 65 nm CMOS工艺实现,实验结果表明TRNG在0.8 V~1.4 V电压和-40 ℃~
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:391168
    • 提供者:weixin_38590520
  1. ATmega128单片机的真随机数发生器

  2. 本文利用RC充放电的低稳定度,根据AVR单片机的特点设计了一种性价比极高的真随机数发生器。该随机数发生器使用元件很少,稳定性高,对一些价格敏感的特殊场合,如金融、通信、娱乐设备等有较大的应用意义。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:365568
    • 提供者:weixin_38558246
  1. 基于USB和FPGA的随机数发生器验证平台

  2. 本文结合实际需求,在充分理解FPGA结构原理和元件特性的基础上,设计实现了一个小型的FPGA开发板。它不仅可以作为随机数发生器的验证平台,同时也可为其他基于数字电路实现的系统提供服务。本文所设计的开发板结构比较简单,故难以满足大型数字电路开发系统的要求,为此,下一步的工作是扩展功能模块,设计更多的外围扩展电路,满足更高的实验需求。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:291840
    • 提供者:weixin_38747946
  1. EDA/PLD中的基于FPGA的真随机数发生器设计与实现

  2. 摘要:设计并实现了一种基于FPGA的真随机数发生器,利用一对振荡环路之间的相位漂移和抖动以及亚稳态作为随机源,使用线性反馈移位寄存器的输出与原始序列运算作为后续处理。在Xilinx Virtex-5平台的测试实验中,探讨了振荡器数量以及采样频率等参数对随机序列的统计特性的影响。测试结果表明本设计产生的随机序列能够通过DIEHARD测试,性能满足要求。由于仅使用了普通逻辑单元,使得本设计能够迅速移植到ASIC设计,大大缩短了开发周期。   真随机数发生器(TRNG)在统计学、信息安全等领域有着广
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:437248
    • 提供者:weixin_38729399
  1. 一种基于FPGA的真随机数发生器设计与实现

  2. 设计并实现了一种基于FPGA的真随机数发生器,利用一对振荡环路之间的相位漂移和抖动以及亚稳态作为随机源,使用线性反馈移位寄存器的输出与原始序列运算作为后续处理。在XilinxVirtex-5平台的测试实验中,探讨了振荡器数量以及采样频率等参数对随机序列的统计特性的影响。测试结果表明本设计产生的随机序列能够通过DIEHARD测试,性能满足要求。由于仅使用了普通逻辑单元,使得本设计能够迅速移植到ASIC设计,大大缩短了开发周期。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:365568
    • 提供者:weixin_38617297
  1. 计算统计学课程作业30种随机数发生器(组合随机数发生器)matlab代码

  2. 30种随机数发生器,计算统计学课上作业,包含5种单独的随机数发生器和两两组合的25种随机数发生器共计30种随机数发生器的matlab代码。
  3. 所属分类:算法与数据结构

    • 发布日期:2020-11-16
    • 文件大小:396288
    • 提供者:HITerrrrrr
  1. 智能卡的随机数发生器

  2. 经常需要用随机数来产生鉴别智能卡和终端的密钥。为了安全起见,这些随机数应当是真正随机数而不是通常在智能卡里的伪随机数。在一些微控制器里已集成了产生真正随机数的随机数发生器。发生器的特性必须不受诸如温度或电源电压等外部物理因素的影响。它可以利用一些外部因素来协助它产生随机数,但无论如何不能用故意改变一个或多个参数来预测随机数的方式去产生随机数。   由于单纯在硅片里很难达到这一要求,所以采取了不同的途径。随机数发生器利用处理器的各种逻辑状态,诸如时钟频率或寄存器的内容,并把这些逻辑状态传送到一个
  3. 所属分类:其它

    • 发布日期:2020-11-14
    • 文件大小:37888
    • 提供者:weixin_38575421
  1. 模拟技术中的随机数发生器

  2. 本例介绍一款采用数字集成电路制作的随机数发生器,每按动一次控制按钮,就会得到一个00-99范围内的随机数字。  电路工作原理  该随机数发生器电路由脉冲发生器、计数器、译码驱动器电路、LED数码显示器和音频电路组成,如图2-96所示。   脉冲发生器电路由六非门集成电路ICl内部的非门电路Dl、D2和电阻器Rl、电容器Cl组成。非门D3为缓冲器。  音频电路由电阻器R2和ICl内部的非门D4-D6和压电蜂鸣器HA组成。  计数器电路由集成电路IC2和1C3组成。  译码驱动器电路由集成电路IC
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:189440
    • 提供者:weixin_38713996
  1. 基于量子随机游动的新型伪随机数发生器

  2. 基于量子随机游动的新型伪随机数发生器
  3. 所属分类:其它

    • 发布日期:2021-03-02
    • 文件大小:919552
    • 提供者:weixin_38624332
« 12 3 4 5 6 7 8 9 10 ... 14 »