您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 分析网页中数据自动并保存到数据库(源码+手册)

  2. 网页下载设置信息:  网页名称:用户给网页命名的名称。  URL:网子。  开始时间:在24小时制,网页下载的开始时间。  结束时间:在24小时制,网页下载的结束时间。  下载频率:包括下面几种类型 每天下载:指定数为0。 每周下载:指定数为1~7。 周制下载:指定数为0。表星期1~5下载 每月下载:指定数为1~31。 每隔几小时下载:指定数为1~23。 每隔几分钟下载 :指定数为1~59。  是否停止使用:如里网页不需要下载了,就选择止项。系统不会再下载这个网页。 数据库设置信息
  3. 所属分类:其它

    • 发布日期:2010-06-23
    • 文件大小:2097152
    • 提供者:timmy_zhou
  1. 人力资源管理软件(完全免费)

  2. 人力资源管理软件功能介绍 本人力资源软件包含人事档案管理 本人力资源软件包含工资管理 本人力资源软件包含考勤管理 本人力资源软件包含绩效管理 本人力资源软件包含用户管理 本人力资源软件软件界面美观,使用方便 人力资源管理软件特色介绍 本人力资源软件包含支持单机、网络,可以通过互联网安全接入企业内网,无需域名或固定IP 用户资料可定制扩展,界面可定义,适应性强 大量的统计分析报表,便于掌握业务情况 本人力资源软件包含提供了自定义报表功能 内置解析器引擎,支持复杂灵活的薪酬制度 本人力资源软件包含
  3. 所属分类:Web开发

    • 发布日期:2011-03-08
    • 文件大小:8388608
    • 提供者:xuwansheng
  1. 一个 C# ARCGIS ENGINE 项目源码

  2. 一个 C# ARCGIS ENGINE 项目源码一个 C# ARCGIS ENGINE 项目源码一个 C# ARCGIS ENGINE 项目源码
  3. 所属分类:.Net

    • 发布日期:2011-03-11
    • 文件大小:2097152
    • 提供者:dawang_2008
  1. 基于delphi和sqlserver数据库的物料管理系统含详细报告数据库及脚本

  2. 该系统分5个模块,功能分别为: 一.人员档案的管理、物料档案管理 设计实现对企业人员基本情况的档案的输入、删除与修改,企业人员基本情况主要包括:人员代码、姓名、性别、出生日期、身份证号、籍贯、家庭住址、联系电话、其它情况等(对身份证号,电话号码合法性验证)。 物料档案包括物料代码、物料名称、规格型号、计量单位、库存数量、备注等字段。 1. 物料档案的增加、修改、删除程序,客户端输入时要求物料代码不为空,计量单位可以选择“件、套、公斤、吨、升、米、毫米、个”等,计量单位并可以输入其它单位名,库存
  3. 所属分类:其它

    • 发布日期:2014-05-12
    • 文件大小:4194304
    • 提供者:cwpcc
  1. 2019上半年网工中级考试.pdf

  2. 2019上半年中级网络工程师考试真题pdf版本,清晰完整可下载查阅0 ③()③L③ (10)A. B C D 0 0 00 ●设信号的波特率为1000Baud,信道支持的最大数据速率为2000b/5,则信道采用的调制技 术为(11) (11)A、BPSK B、QPSK C、BFSK D、4B5B ●假设模拟信号的频率为10-16MHz,采样频率必须人于(12)时,才能使得到的样本信号 不失真 (12)A、8MHz B、10MHz C、20MHz D、32MHz ●下列千兆以太网标准中,传输距离最
  3. 所属分类:软考等考

    • 发布日期:2019-07-15
    • 文件大小:7340032
    • 提供者:ncankun
  1. 开关电源核心技术.pdf

  2. 开关电源核心技术pdf,开关电源是一种电压转换电路,主要工作内容是升压和降压,广泛应用于现代电子产品,因为开关三极管总是工作在开和关的状态,所以称为开关电源。维修 有些开关电源很复杂,元器件密密麻麻,很多保护和控制电路, 在没有技术支持的情况下,维修起来是一件很头疼的事。在我面对这种情 况时,首先我会找到开关管及其参与振荡的外围电路,把它从电路屮分离 出来,看它是否满足振荡的条件,如检测偏置是否正常,正反馈冇无故障, 还有廾关管本身,计关电源有板强大的保护功能,排除后检察掉制和保护 及负载电路。
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:3145728
    • 提供者:weixin_38743602
  1. 伊莱斯 ESDA驱动器说明书.pdf

  2. 伊莱斯 ESDA驱动器说明书pdf,伊莱斯 ESDA驱动器说明书目录 备注 第一章产品检查与型号说明1 1.1到货检查 1.2铭脚说明--- 13型号说明 1.4电机各部分名称 1.5驱动器各部分名称-- 3 1.6问服驱动番技术规 第二章安装---------- 2.1伺服电机的安装--- 2.2何服驱动器的安装 9 第三章配线 3.1外围设备的连接--- 12 3.2控制端了接线 15 3.3码盘反馈端子接线 3.4通信端了接线 24 3.5标准接线图 -25 第四章显示与操作 27 4.1
  3. 所属分类:其它

    • 发布日期:2019-10-14
    • 文件大小:9437184
    • 提供者:weixin_38743481
  1. RKC 温度控制板 REX-B850 产品简介.pdf

  2. RKC 温度控制板 REX-B850 产品简介pdf,RKC 温度控制板 REX-B850 产品简介板式多点温度控制器 REX-B850 规格(REXB850 ●标准规格 供选规格 输入点数 8進,6通道,4通道、定购时指定 CTL-6P-N(30A丌) 春加热怜却型为固定4通道 输 CTL12S5610LN(100A用)(任选其一) )电偶;K,JR,S,EN,T,B,W5RcW26Rc 输人约±5%或±2A以内 1,T 显示精度(其 言号源电阻的影响:约0.35aV O--30A: CTL-
  3. 所属分类:其它

    • 发布日期:2019-10-12
    • 文件大小:1048576
    • 提供者:weixin_38743481
  1. 欧姆龙开环矢量控制型变频器3G3MZ系列产品资料.pdf

  2. 欧姆龙开环矢量控制型变频器3G3MZ系列产品资料pdf,欧姆龙开环矢量控制型变频器3G3MZ系列产品资料一自动节能功能 目录 三相感应电机在负载减轻时会降低电源电压,通过 特征 2 这些特性可以将3G3MZ设计为通过检测电机的供电 应用 4 电流估算负载,根据变频器的最适合的能源效率自 各部分名称 6 动降低电机的供电电压。因此,在此模式下3G3Mz 操作器操作流程 8 运行更加节能 参数列表 规格 29 减震器控制 外形尺寸/安装尺寸 31 所需电源 标准连接 32 选件/附属设备规格 34
  3. 所属分类:其它

    • 发布日期:2019-10-12
    • 文件大小:10485760
    • 提供者:weixin_38743481
  1. “森创”全数字交流伺服驱动器GS××××G系列 操作手册.pdf

  2. “森创”全数字交流伺服驱动器GS××××G系列 操作手册pdf,“森创”全数字交流伺服驱动器GS××××G系列 操作手册SYITROL森创 第一章产品概要 GSXXXXG系列伺服驱动器以美国T公司最新的数字处理芯片(DSP)作为核心控制芯片,采用了先 进的全数字电杋控制算法,完全以软件方式实现了电流环、速度环、位置环的闭环伺服控制,具各 良好的鲁棒性和自适应能力,可配合多种规格的伺服电机,适应于各种需要快速响应的精密转速 控制与定位控制的应用系统,如:数控机床,卬刷杋槭、包装机械、造纸机械、塑料
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:1048576
    • 提供者:weixin_38744153
  1. S7-200宝典60问题解答.pdf

  2. S7-200宝典60问题解答pdf,S7-200宝典60问题解答10、S7-200程序扫措时间和程序大小有关系吗? 程序扫描时间与用户程序的人小成正比 《S7-20系统手册》中有每个指令所需执行时间的数据。实际上很难事先预先精确计算出程序扫描时间,特别是还没有开 始编程序时。 可以看出,常规的PLC处理模式不适合时间响应要求高的数字量信号。可能需要根据具体仁务采用一些特别的方法。 11、CPU224XP高速脉冲输出最快能达到多少? CPU224XP的高速脉冲输出Q0和Q0.1支持高达100K的频
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:192512
    • 提供者:weixin_38744375
  1. 西驰 CMC/CMV系列产品介绍.pdf

  2. 西驰 CMC/CMV系列产品介绍pdf,西驰 CMC/CMV系列产品介绍创新无限d信示恒 CMC′CMV系列电机软起动器 HUANGXINWUXIAN CH∈ NGXINY○NGH∈NG B: Net 量观感认书 oAs8 EN CERTEIA忑E CER/IrIC1I 子神套 喻实逼 有来电1以 D产品优 product superiority CMC/CMV系列电机软起动器是结合ABB和AB公司国际先进技术和国内电网实际情况开发的产品。是一科将电力技 术、微处理器和模糊控制理论相结合的新型电
  3. 所属分类:其它

    • 发布日期:2019-10-08
    • 文件大小:8388608
    • 提供者:weixin_38743602
  1. 面试总结.pdf

  2. JAVA 基础知识总结包括:1.java 基础与算法;2.算法 与 解决方案;3.类加载机制等内容法(线程安仝),通常性能上较 ArrayList差,而 Linkedlist使用双向链表实现存储,按序 号索引数据需要进行前向或后向遍历,但是插入数据时只需要记录本项的前后项即可, 所以插入速度较快。 7. Collection和 Collections的区别。 答: Collection是 java. util|下的接∏,它是各种集合的父接∏,继承于它的接∏主要有 Set和List Collect
  3. 所属分类:Java

    • 发布日期:2019-10-05
    • 文件大小:5242880
    • 提供者:weduest
  1. 威胜DTSD342-9C三相多功能监测仪表.pdf

  2. 威胜DTSD342-9C三相多功能监测仪表pdf,1C A相视在功率 ID 29 B相视在功率 IE 30 C相视在功率 IF 总相视在功率 当检测数据代码为F时表示该组越限报警功能关闭 ②检测数据阀值:检测数据是否越限的判断阀值。不同的数据类型有不同的单位,如:有功 KW,无功KVAR,视在_KVA ③判断条件:设置为0表示大于限额值报警;1表示小于限额值报警。 ④报警信号输出继电器:设置为0表示报警信号无输出;1表示报警信号从继电器1输岀;2 表示报警信号从继电器2输出;3表示报警信号从继电
  3. 所属分类:其它

    • 发布日期:2019-09-19
    • 文件大小:1048576
    • 提供者:weixin_38743506
  1. 威胜DTSD342-9B三相电压电流监测仪表.pdf

  2. 威胜DTSD342-9B三相电压电流监测仪表pdf,OD 13 CA线电压 U 15 A相电流 10 16 B相电流 C相电流 12 零线电流 当检测数据代码为FF时表小该组越限报警功能关闭。 ②检测数据阀值:检测数据是否越限的判断阀值。不同的数据类型有不同的单位,如:电压 V;电流A。 ③判断条件:设置为0表示大于限额值报警;1表示小于限额值报警 ④报警信号输出继电器:设置为0表示报警信号无输出;1表示报警信号从继电器1输出;2: 表示报警信号从继电器2输出;3表示报警信号从继电器1和2同时输
  3. 所属分类:其它

    • 发布日期:2019-09-19
    • 文件大小:1048576
    • 提供者:weixin_38743481
  1. 威胜(WASION)_三相电流监测仪表使用说明书_7A.pdf

  2. 威胜(WASION)_三相电流监测仪表使用说明书_7Apdf,0113地址设置为“00”,0114地址设置为“02” ②使用DL/I645协议配置报警参数:标识编码“04000FOA”地止设置为“15,0000000 02” 33显示与按键功能 仪表采用大屏幕宽视角多行液晶显示,显示直观、内容丰富;显示屏带白色背光,在光线较暗 的环境下也能清晰显示。显示配合按键架作可获取详细的数据信息,只体参见“操作与显示”部分。 34通信功能 仪表配置有RS485通信接凵,可用于参数设定和各类数据抄读。有关通
  3. 所属分类:其它

    • 发布日期:2019-09-19
    • 文件大小:875520
    • 提供者:weixin_38743506
  1. cmake command

  2. all cmake command CMake2.8.3 主索引 • 命令名称 • 用法 • 描述 • 命令选项 • 生成器 • 命令 • 属性 • 全局域属性 • 目录属性 • 目标属性 • 测试属性 • 源代码属性 • Cache Entries属性 • 兼容性命令 • CMake 标准模块 • CMake策略 • 变量 • 改变行为的变量 • 描述系统的变量 • 语言变量 • 控制构建的变量 • 提供信息的变量 • 版权 • 其他参考资料Wdev:使能开发者警告信息输出功能。 允许那些为
  3. 所属分类:C++

    • 发布日期:2019-01-11
    • 文件大小:495616
    • 提供者:w13752280159
  1. ghat:across跨存储库重用GitHub Actions工作流-源码

  2. :hindu_temple:高止山脉 跨存储库重用GitHub Actions工作流 首次运行时, ghat将从另一个GitHub存储库复制工作流。连续运行将更新现有工作流程并保留env变量。 编写工作流程一次,可在任何存储库中使用它们 无需复制粘贴YAML即可更新您的工作流程 保留 在导入之前 好的部分: ghat并非每次都在您的CI上运行 ghat不必是您项目的依赖项 ghat是基于节点的,但是可以安装任何类型的工作流 工作流更改需要由用户提交,因此您不必担心它会突然因为“依赖关系”而中断
  3. 所属分类:其它

    • 发布日期:2021-03-20
    • 文件大小:150528
    • 提供者:weixin_42139460
  1. Pewlett-Hackard-Analysis:SQL_PostgreSQL11_pgAdmin-源码

  2. Pewlett-Hackard分析 SQL_PostgreSQL11_pgAdmin 分析概述: 该分析的目的是帮助Bobby为公司即将发生的重大变化做好准备。 成千上万的员工将要退休,对于这个特定的任务,鲍比(Bobby)想确定每个职称的退休员工数,并确定有资格参加指导计划的员工。 链接到完整代码 结果: 分析结果包括: 表1:retires_titles第一步是创建一个表,其中包含退休员工的信息,包括他们的员工编号,姓名,职称以及起止日期。 为了获得所有这些信息,合并了两个表:empl
  3. 所属分类:其它

    • 发布日期:2021-03-14
    • 文件大小:17825792
    • 提供者:weixin_42116585
  1. 微信小程序 - 打卡-源码

  2. 微信小程序 - 打卡 & 清单 今天又是元气满满的一天呢 简介 微信小程序,单人打卡 + 待办 扫码体验一下 现有功能页 打卡 单人版 打卡项目周期可以灵活设置,设置起止时间 或者 设置打卡项目的周期 本地 Storage 存储数据 连续打卡7天可获得小勋章一枚 待办 可以自建待办分类,暂不支持删除 新建的待办可以属于多个分类 待办项支持 增 删 改 三件事 当下最重要的三个目标( 三件事情 ) 千万别偏航~~ 安装使用 clone 项目至本地 git clone gitgithub.com:D
  3. 所属分类:其它

    • 发布日期:2021-01-27
    • 文件大小:171008
    • 提供者:weixin_38747233
« 12 »