您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 互联网ip地址分配表

  2. IP地址分配表 世界iP 弟子分配表 互联网 IP 地址分配一览表 起始地址 结束地址 使用机构 003.000.000.000 003.255.255.255 [美国][新泽西通用电气公司] 010.000.000.000 010.001.255.255 [中国][169网中心]
  3. 所属分类:专业指导

    • 发布日期:2009-06-01
    • 文件大小:344064
    • 提供者:best668
  1. Gray码是一个长度为2n的序列。序列中无相同的原图,每个元素都是长度为n位的串,相邻元素恰好只有一位不同。用分治策略设计一个算法对任意的n构造相应的Gray码。

  2. n=1时,Gray码:0,1 n=2时,Gray码:00,10, 11,01 n=3时,Gray码:000,010,011,001, 101,111,110,100 n=4,时,Gray码:0000,0010,0011,0001,0101,0111,0110,0100, 1100,1110,1111,1101,1001,1011,1010,1000 从上面可以看出如下规律:从n=2开始,每个n的Gray码由两部分组成。后一位的Gray码可以从前一位的G ray码求出,即,在n的Gray码的前半
  3. 所属分类:其它

    • 发布日期:2009-06-22
    • 文件大小:14336
    • 提供者:wwx1234
  1. 信息论与编码复习资料

  2. 信息论试题 复习资料 很不错哦!~1. 2. dmin=3 3. S E 000 0000000 001 0000001 010 0000010 100 0000100 101 0001000 111 0010000 011 0100000 110 1000000
  3. 所属分类:专业指导

    • 发布日期:2009-06-30
    • 文件大小:339968
    • 提供者:kobechf301
  1. c/c++ 学习总结 初学者必备

  2. 用变量a给出下面的定义 a) 一个整型数(An integer) b) 一个指向整型数的指针(A pointer to an integer) c) 一个指向指针的的指针,它指向的指针是指向一个整型数(A pointer to a pointer to an integer) d) 一个有10个整型数的数组(An array of 10 integers) e) 一个有10个指针的数组,该指针是指向一个整型数的(An array of 10 pointers to integers) f) 一
  3. 所属分类:C

    • 发布日期:2009-09-16
    • 文件大小:51200
    • 提供者:rzvs8275161
  1. Killtest提供 IBM 000-010 资料下载

  2. Killtest提供最新的 000-010 参考资料下载
  3. 所属分类:专业指导

    • 发布日期:2009-09-29
    • 文件大小:245760
    • 提供者:kkv9jsd
  1. 8位模型计算机的设计

  2. 微处理器的所有数据通路宽度是8位,操作码是3位。操作码对应的编码:load—>000,sta—>001,add—>010,sub—>011,and0—>100,nop—>101,jmp—>110。 微程序的编码采用直接编码方法,有16个控制信号,外加5位地址,所以一共21位。地址3的下地址,应该根据指令操作码来形成,所以将其下地址设为01111,表示如果下地址为01111时,下地址的低3位是指令操作码,高2位固定是01,因此load指令的开始地址是01
  3. 所属分类:专业指导

    • 发布日期:2009-10-21
    • 文件大小:200704
    • 提供者:yf0506
  1. 汽车尾灯控制电路课程设计实验报告

  2. ⑴. 汽车左转弯的仿真。在Multisim 2001下(以下的仿真都是在这个软件下,并且都是功能仿真)的仿真的电路图。 图4.左转弯仿真电路 仿真分析:如图4所示当S2 S1 S0 =001时候,L3L2 L1变化顺序为: 000 001 011 111 000,由于输出为高电平时灯亮,所以尾灯的点亮方式为:L1→L1L2→L1L2L3→全灭→L1…… S2 S1 S0 =000的时候,r1 r2 r3 ,L1L2L3恒为000,所以所有灯熄灭与实际相符合,所以正确。 ⑵.汽车右转弯仿真。 图
  3. 所属分类:嵌入式

    • 发布日期:2009-12-22
    • 文件大小:791552
    • 提供者:skyen91
  1. 000-010 testinside 最新更新

  2. 000-010 testinside 最新更新,http://www.testinside.cn
  3. 所属分类:其它

    • 发布日期:2009-12-22
    • 文件大小:257024
    • 提供者:cherryleosong
  1. 计算机组成原理课设指令代码

  2. 00 0 0 0 0 0 0 0 1 1 000 000 100 001000 018108 01 0 0 0 0 0 0 0 1 1 110 110 110 000010 01ED82 02 0 0 0 0 0 0 0 0 1 100 000 001 010000 00C050 03 0 0 0 0 0 0 0 0 1 010 000 000 000100 00A004 04 0 0 0 0 0 0 0 0 1 110 000 010 100000 00E0A0 05 0 0 0 0 0 0
  3. 所属分类:C

    • 发布日期:2010-01-10
    • 文件大小:4096
    • 提供者:a234vv
  1. 数据包络分析软件deap2.1

  2. 一、软件的具体操作 1.建一个文件夹,里面必须有四个文件(Dblank;deap;deap.000;123.dta)前三个文件在一般下载的DEAP Version 2.1中都有,直接复制过来就可以,第四个文件是一个数据文件,一般先在excel中先输入,再复制到一个记事本下就可以,注意在记事本下的数据只有数据,不包括决策单元的名称和投入、产出的名称,并且一定要先放产出,后是投入。例子具体见123电子表格和123记事本。 2.对命令Dblank文件进行修改,修改后保存为123.ins文件 3.打开
  3. 所属分类:Java

    • 发布日期:2010-04-26
    • 文件大小:197632
    • 提供者:grossman
  1. 基于OFDM仿真程序

  2. 星座映射采用Gray码,能够改善系统性能 % Gray:mapping_matrix=[1 2 4 3 7 8 6 5]=[000 001 011 010 110 111 101 100] %实际映射矩阵[000 001 010 011 100 101 110 111]=[1 2 3 4 5 6 7 8] % 建立符号映射关系 %得到星座图映射矩阵 mapping=[1,sqrt(2)/2+sqrt(2)/2*j,-sqrt(2)/2+sqrt(2)/2*j,j,sqrt(2)/2-sqrt(
  3. 所属分类:嵌入式

    • 发布日期:2010-05-19
    • 文件大小:137216
    • 提供者:geliang52585254
  1. 网蜂CAD工具箱2.0

  2. V2.031 2010.4.23 1、修正没有安装ET工具时的错误提示! V2.030 2010.04.22 1、修复坐标标注自定义坐标系时标注比例变量不能保存的BUG V2.029 2010.04.19 1、修复卸载时不能删除菜单目录的BUG V2.028 2010.4.9 1、修改坐标标注中参考基点在自定义坐标后,标注当前及世界坐标系中显示错误的BUG 2、修改坐标标注中若CAD中UCS为自定义时且与WCS有角度时,标注方向不正确的BUG V2.027 2010.4.5 1、修改承台绘制-
  3. 所属分类:VB

    • 发布日期:2010-05-24
    • 文件大小:17825792
    • 提供者:tangchunxy
  1. C语言资料大全集.7z

  2. 用户要想通过移动终端直接访问因特网,除了必须有台WAP手机外,还要在手机上设置以下几个重要参数:网络接入号(172),网关IP地址(010.000.000.172),用户名(WAP)及密码(wap),请注意密码区分大小写,目前与用户名相同,但必须小写;有些手机还要求输入门户站点的地址(wap.chnmobile.net)和服务端口号(9201)。在完成这些参数的设置后,用户就可以按照手机菜单上的指示,轻轻松松地用手机上因特网"冲浪"了。下面我们就具体的来介绍一下市面上常见的几款手机的参数设置
  3. 所属分类:网络基础

    • 发布日期:2010-07-27
    • 文件大小:660480
    • 提供者:ftzz888
  1. 软件病毒特征码定位软件multiCCL

  2. 特别说明: 本软件谢绝任何支持或使用3721(及及该公司其他产品如雅虎助手等)的人士使用。 multiCCL      by niu-cow in NE365 开发调试环境及工具 : win2k+sp4 dev-cpp 4.992 Lcc_win32 MASM32 v9.0 Radasm ollydbg 1.10 winHex 12.5 包含文档: 1. multiCCL_f.exe 文件特征码专用版 2. multiCCL_m.exe 内存特征码专用版 3. multiCCL_inj.exe
  3. 所属分类:网络攻防

    • 发布日期:2010-08-02
    • 文件大小:98304
    • 提供者:tyz777
  1. 面试题—N位二进制数中不相邻为1的数

  2. 求解所有给定的二进制数的位数N,这些数中排除相邻位为1的数,如N=3时,结果为:000,001,010,100,101
  3. 所属分类:专业指导

    • 发布日期:2010-09-26
    • 文件大小:1024
    • 提供者:xiaotaoprogram
  1. FPGA--频率计数器

  2. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity scan_led is port( clk:in std_logic; sg:out std_logic_vector(6 downto 0); bt:out std_logic_vector(7 downto 0)); end; architecture one of scan_led is signal cnt8:std_lo
  3. 所属分类:硬件开发

    • 发布日期:2010-10-10
    • 文件大小:2048
    • 提供者:xingrui5209988
  1. IP地址与物理地址对应

  2. 003.000.000.000 003.255.255.255 美国 新泽西通用电气公司 004.000.000.000 004.255.255.255 加拿大 010.000.000.000 010.001.255.255 中国 169网中心 010.000.000.000 010.255.255.255 中国 169用户 010.000.018.000 010.000.020.255 中国 169网中心 010.002.000.000 010.009.255.255 北京 169用户 01
  3. 所属分类:专业指导

    • 发布日期:2010-10-16
    • 文件大小:221184
    • 提供者:jilianglijie
  1. multiCCL_f

  2. 特别说明: 本软件谢绝任何支持或使用3721(及及该公司其他产品如雅虎助手等)的人士使用。 multiCCL      by niu-cow in NE365 开发调试环境及工具 : win2k+sp4 dev-cpp 4.992 Lcc_win32 MASM32 v9.0 Radasm ollydbg 1.10 winHex 12.5 包含文档: 1. multiCCL_f.exe 文件特征码专用版 2. multiCCL_m.exe 内存特征码专用版 3. multiCCL_inj.exe
  3. 所属分类:网络攻防

    • 发布日期:2010-10-19
    • 文件大小:57344
    • 提供者:a6125456
  1. 宽调谐中红外差频激光及大气水汽浓度探测

  2. 基于非线性差频技术,利用AgGaS2晶体通过二类相位匹配条件(e+o→e)产生了5~12.5 μm宽调谐差频激光(DFG)输出。抽运光源是一个再生激光放大系统,它由连续的Littrow结构光栅外腔半导体激光器和锥形半导体放大器组成,调谐范围为760~790 nm,最大输出功率可达800 mW(780 nm)。信号光源是连续可调谐钛宝石激光器,调谐范围为790~910 nm, 最大输出功率可达760 mW(806 nm)。差频激光在7.0 μm附近获得的最大输出功率为1.076 μW。基于产生的差
  3. 所属分类:其它

    • 发布日期:2021-02-09
    • 文件大小:2097152
    • 提供者:weixin_38653691
  1. 010列表:一个打了激素的数组python 入门视频

  2. 000愉快的开始python 入门视频
  3. 所属分类:其它

    • 发布日期:2021-04-01
    • 文件大小:24117248
    • 提供者:qq_39911741
« 12 3 4 5 6 »