您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 8位模型计算机的设计

  2. 微处理器的所有数据通路宽度是8位,操作码是3位。操作码对应的编码:load—>000,sta—>001,add—>010,sub—>011,and0—>100,nop—>101,jmp—>110。 微程序的编码采用直接编码方法,有16个控制信号,外加5位地址,所以一共21位。地址3的下地址,应该根据指令操作码来形成,所以将其下地址设为01111,表示如果下地址为01111时,下地址的低3位是指令操作码,高2位固定是01,因此load指令的开始地址是01
  3. 所属分类:专业指导

    • 发布日期:2009-10-21
    • 文件大小:196kb
    • 提供者:yf0506
  1. 基于FPGA密码锁控制系统设计

  2. USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY keys_lock IS PORT ( clk ,clr,status : IN STD_LOGIC ; selout : OUT STD_LOGIC_VECTOR (2 downto 0) ; key : IN STD_LOGIC_VECTOR (3 downto 0) ; segout : OUT STD_LOGIC_VECTOR (0 TO 6);
  3. 所属分类:嵌入式

    • 发布日期:2011-04-04
    • 文件大小:302kb
    • 提供者:king_222
  1. EDA课程设计

  2. 程序可行library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity mudecoder is port(binaryin:in std_logic_vector(1 to 5); --5位二进制码的输入端口 bcdout1:out std_logic_vector(1 to 7); --七段译码器输出端口 bcdout2:out
  3. 所属分类:专业指导

    • 发布日期:2012-06-05
    • 文件大小:14kb
    • 提供者:huangruip
  1. 计算机组成原理习题集

  2. 一.填空题 1.A.10000 B.神威 C.美国,日本 2. A.符号位S B.真值e C.偏移量 3. A.瞬间启动 B.存储器 C.固态盘 4. A.时间 B.空间 C.时间并行+空间 5. A.主设备 B.控制权 C.总线仲裁 6. A.磁光盘 B.相光盘 C.随机写入,擦除或重写 二. 解:设最高位为符号位,输入数据为[x]原 = 01111 [y]原 = 11101 因符号位单独考虑,尾数算前求补器输出值为:|x| = 1111, |y| = 1101 乘积符号位运算: x0 ⊕y
  3. 所属分类:专业指导

    • 发布日期:2012-10-13
    • 文件大小:5mb
    • 提供者:maoxiaoyuisme
  1. 01111

  2. 01111111111
  3. 所属分类:Veritas

    • 发布日期:2008-08-01
    • 文件大小:454byte
    • 提供者:vbvvvbvb
  1. BlueStacks一键获取root 密匙01111

  2. 一键获取root 密匙01111 BlueStacks
  3. 所属分类:Android

    • 发布日期:2013-06-01
    • 文件大小:1mb
    • 提供者:loumeng12
  1. HG526配置文件

  2. 无修改帮你恢复到先电信 HG526
  3. 所属分类:其它

    • 发布日期:2013-12-31
    • 文件大小:14kb
    • 提供者:u013338577
  1. 用lex与yacc构造汇编器vasm及其指令模拟器vsim

  2. 用lex与yacc构造汇编器vasm及其指令模拟器vsim vasm及vsim源于Designing Digital Computer Systems with Verilog一书中定义的VeSPA(一个小型的RISC指令集的CPU)的指令集。 vasm通过两遍扫描的方式将VeSPA的汇编程序翻译为机器指令。 vsim模拟CPU的取指->译码->执行的循环完成机器指令的逐条执行,直到遇到停机或者运行时错误为止。 阅读及DIY该代码,你将深入理解并学会:1.两遍扫描的汇编器的工作原理
  3. 所属分类:C

    • 发布日期:2014-11-15
    • 文件大小:1mb
    • 提供者:jocks
  1. c++实现huffman哈夫曼编码

  2. 利用c++实现了Huffman编码,并对代码进行了注释,保证可读性。 {4,2,13,3,7,10,8,23,22,35,52,31} 下面是编码结果: 第1个数的huffman编码是:00000 第2个数的huffman编码是:000010 第3个数的huffman编码是:0110 第4个数的huffman编码是:000011 第5个数的huffman编码是:01110 第6个数的huffman编码是:0001 第7个数的huffman编码是:01111 第8个数的huffman编码是:01
  3. 所属分类:C++

    • 发布日期:2016-10-27
    • 文件大小:3mb
    • 提供者:qq_23926575
  1. opengl 01111

  2. good ggggggggggggggggggg
  3. 所属分类:C++

    • 发布日期:2009-03-14
    • 文件大小:1mb
    • 提供者:yhq870602